027337510
1981-06-02
2021-06-16T05:07:41
11940133
Microélectronique
Micro-électronique
Microminiaturisation (électronique)
Miniaturisation (électronique)
Subminiaturisation (électronique)
Nanoélectronique
Circuits intégrés
Couches épaisses (électronique)
Électronique de spin
Électronique moléculaire
Équipement électronique miniaturisé
Lithographie par faisceau d'électrons
Lithographie par faisceau d'ions
Lithographie par rayons X
Mécatronique
Mise sous boîtier (microélectronique)
Plaquettes à gravure en semiconducteurs
Résines photosensibles
Systèmes sur puce
Électronique
Microtechniques
Semiconducteurs
Technologie de l'information
530
600
Miniaturisation extrême de mémoires STT-MRAM : couche de stockage à anisotropie de forme perpendiculaire / Nicolas Perrissin fabert ; sous la direction de Ioan-Lucian Prejbeanu et de Bernard Dieny / , 2018
Design and manufacturing of active microsystems / Stephanus Büttgenbach, Arne Burisch, Jürgen Hesselbach, editors / Heidelberg : Springer , cop. 2011
Métrologie Hybride pour le contrôle dimensionnel en lithographie / Nivea Griesbach schuch ; sous la direction de Maxime Besacier et de Jérôme Hazart / , 2017
1995 International Symposium on VLSI Technology, Systems, and Applications : proceedings of technical papers, May 31-June 2, 1995, Taipei International Convention Center, Taipei, Taiwan, R.O.C / [sponsored by ROC, National Science Council, and Industrial Technology Research Institute ; in cooperation with Chinese Institute of Engineers, ROC ... [et al.] / Chutung, Hsinchu, Taiwan : ERSO, ITRI , cop. 1995
Modulation du travail de sortie de grilles métalliques totalement siliciurées pour des dispositifs CMOS déca-nanométriques [Ressource électronique] = = Work function modulation of totally silicided metal gates for deca-nanometric CMOS device : / par Delphine Aime ; sous la direction de Abdelkader Souifi et Daniel Bensahel / Villeurbanne : Doc'INSA , 2008
Modélisation et intégration de couches minces magnétiques à haute perméabilité dans des dispositifs hyperfréquences / Damien Rialet ; [sous la direction de] Ala Sharaiha, Anne-Claude Tarot / Lille : Atelier national de reproduction des thèses , 2010
Miniaturisation et intégration d'antennes imprimées pour systèmes communicants ULB pulsés / par Ali Chami ; sous la direction de Georges Kossiavas / [S.l.] : [s.n.] , 2011
Microelectronics / Jerry C. Whitaker / Second edition / Boca Raton, FL : Taylor & Francis , 2006
Microelectronic test pattern NBS-4 / W. Robert Thurber and Martin G. Buehler / Washington : U.S. Dept. of Commerce, National Bureau of Standards : for sale by the Supt. of Docs., U.S. Govt. Print. Off. , 1978
Caractérisation par spectrométrie de photoélectrons des étapes de réalisations du transistor bipolaire a hétéro jonction gaalas/gaas, et en particulier de la gravure ionique réactive du contact ohmique Gemow sur gaas / Alain Campo ; sous la direction de Christophe Cardinaud / Grenoble : Atelier national de reproduction des thèses , 1994
Handbook of single-molecule electronics / edited by Kasper Moth-Poulsen / Singapore : Pan Stanford Publishing Pte. Ltd. , cop. 2016
Microelectromechanical systems : materials and devices III : symposium held November 30-December 2, 2009, Boston, Massachusetts, U.S.A / editors, Jörg Bagdahn,... Norman F. Sheppard Jr.,... Kevin T. Turner,... [et al.] / Warrendale (Pa.) : Materials Research Society , cop. 2010
Photon processing in microelectronics and photonics III : 26-29 January 2004, San Jose, California, USA / Peter R. Herman ... [et al.], chairs/editors ; sponsored and published by SPIE, the International Society for Optical Engineering / Bellingham (Wash.) : SPIE , cop. 2004
Polymers for microelectronics and nanolectronics / ed.by Qinghuang Lin,... Raymond A. Pearson,..., Jeffrey C. Hedrick,... ; sponsorisé par ACS division of polymeric materials, science and engineering / Washington, D.C. : American Chemical Society , 2004
Caractérisation expérimentale et optimisation de procédés plasma pour la décontamination de l'AMC (Contamination Moléculaire Aéroportée) / Christopher Laurent ; sous la direction de Philippe Guillot et de Bruno Caillier / Toulouse : Université Toulouse 3 , 2016
Conception et réalisation d'une matrice de microéjecteur thermique adressable individuellement pour la fonctionnalisation de biopuce / rédigé par David Jugieu ; sous la direction de Anne-Marie Gué / [S.l.] : [s.n.] , 2004
Aspects de la microélectronique : promotion 1987 / Claude Weisbuch / Palaiseau : École polytechnique , 1988
Propriétés physiques de films minces de phtalocyanines adsorbées sur des semi-conducteurs III-V / par Eric Salomon ; sous la direction de Jean-Marc Layet / Grenoble : Atelier national de reproduction des thèses , 2005
Elaboration d'une méthode de prédiction du taux d'aléas logiques induits dans les mémoires SRAM par les neutrons atmosphériques / Guillaume Hubert ; [sous la dir. de] Jean Marie Palau / [S.l.] : [s.n.] , 2002
Silicon nitride for microelectronic applications Part 1, Preparation and properties / [by] John T. Milek / New York : IFI/Plenum , 1971
Transport dans les transistors MOS avancés : exploitation de la magnétorésistance du canal / Wipa Chaisantikulwat ; sous la direction de Mireille Mouis et Sorin Cristoloveanu / Lille : Atelier national de reproduction des thèses , 2008
Feedback Control of MEMS to Atoms / edited by Jason J. Gorman, Benjamin Shapiro. / Boston, MA : Springer US
Electrokinetics in microfluidics / Dongqing Li,... / Amsterdam : Elsevier-Academic press , 2004
Intégration monolithique en 3D : étude du potentiel en termes de consommation, performance et surface pour le nœud technologique 14nm et au-delà / Alexandre Ayres de sousa ; sous la direction de Laurent Fesquet / , 2017
Analyse statique temporelle des performances en présence de variations de tension d'alimentation et de température / Benoit Lasbouygues ; sous la direction de Nadine Azémard / , 2006
Proceedings of the symposium on microstructures and fabricated systems IV / editors Peter J. Hesketh, Henry Hughes, Wayne E. Bailey / Pennington, NJ : Electrochemical Society
Limites de l'intégration des masques de gravure et d'un matériau diélectrique hybride pour la fabrication des interconnexions en microélectronique / Julien Ducoté ; sous la direction de René-Louis Inglebert / Lille : Atelier national de reproduction des thèses , 2010
4èmes Journées nationales Microélectronique et optoélectronique III-V, La Grande-Motte 21, 22 et 23 Octobre 1992 : [ Posters ] / [Journées organisées par le] Centre électronique de Montpellier ; préf. Daniel Gasquet / Montpellier : Centre d'électronique de Montpellier , 1992
Chemical perspectives of microelectronic materials II : symposium held November 26-28, 1990, Boston, Massachusetts, U.S.A / ed. L.V. Interrante... [et al.] / Pittsburg (Pa) : Materials Research Society , cop. 1991
Dictionnaire Cégos : définitions du vocabulaire micro-informatique et micro-électronique : avec lexique anglais-français / [rédigé par] Christiane Morvan / Paris : CEDIC , 1980
Proceedings of the 6th International Microelectronics Conference : May 30-june 1, 1990, Nippon convention center, Tokyo, Japan / sponsored by SHM / Tokyo : SHM , cop. 1990
Proceedings / European hybrid micro-electronics conference, 1981, Avignon... May 20-22 / [Paris] : [Groupement des industries électroniques] , 1981
Microelectronic materials / C.R.M. Grovenor / [1st ed.] ; repr. with minor corrections 1992. / Bristol : IOP Publishing , 1992, c1989
Transistor balistique quantique et HEMT bas-bruit pour la cryoélectronique inférieure à 4.2 K / Emile Grémion / Villeurbanne : [CCSD] , 2008
Circuits intégrés VLSI : parcours electrical engineering promotion 2008, PHY559 / Yvan Bonnassieux, Gérard Fontaine, Alain Greiner / Palaiseau : École polytechnique , 2010
Fundamentals of microelectronics processing / Hong H. Lee / New York : McGraw-Hill , c1990
Etude d'un dispositif non volatil à grille flottante du type "flotox" et de son utilisation dans les mémoires vives non volatiles / par Michel Bétirac ; sous la direction de J. J. Urgell / [S.l.] : [s.n.] , 1983
Microsim II : simulation and design of microsystems and microstructures / editors, R.A. Adey,... Ph. Renaud,... / Southampton, UK : Computational Mechanics Publications , c1998
Micronic integrated sensors : proceedings of Symposium B on new materials, physics, and technologies for micronic integrated sensors of the 1991 E-MRS spring conference, Strasbourg, France, May 28-30, 1991 / ed., Jean-Louis Robert / Amsterdam : North-Holland
Microscope à effet tunnel photonique PSTM utilisant les sondes semi-conductrices à force atomique / Laure Grimont ; sous la direction de Michel Castagné / [S.l.] : [s.n.] , [1997] -
Mikroelektronik in der Stromrichtertechnik und bei elektrischen Antrieben = = Microelectronics in power electronics and electrical drives : Vorträge der ETG/GMR Fachtagung 12.-14. oktober 1982 in Darmstadt / Wissenschaftliche Tagungsleitung prof. W. Leonhard, Braunschweig ; veranstalter Energietechnische Gesellschaft im VDE (ETG) Fachausschuß 5 "Elektronik in der energietechnik" ; VDI/VDE-Gesellschaft Meß-und Regelungstechnik (GMR) Ausschuß 6.10 "Leittechnik in der Elektrischen Antriebstechnik" / Berlin : VDE-Verlag GmbH , cop. 1982
Analysis of microelectronic materials and devices / ed. by M. Grasserbauer and H. W. Werner / Chichester : Joh Wiley & Sons
La microélectronique dans les produits de la mécanique : [recueil de conférences] / Centre technique des industries mécaniques / [Senlis] [etc.] : CETIM , 1985
Synthèse et étude préliminaire du comportement microlithographique de résines siliciées sensibles aux irradiations UV et visible / par Abou Madi Wadeh / [S.l.] : [s.n.] , [1987]
Les nanotechnologies / Michel Wautelet et coll. ; préface de Jean-Marie Lehn,... / Paris : Dunod , DL 2003
Méthodes alternatives pour le test et la calibration de MEMS : application à un accéléromètre convectif / Ahmed Rekik ; sous la direction de Pascal Nouet / , 2011
Etude, développement et validation d'un concept d'architecture électronique sans temps mort pour TEP de haute sensibilité / Pierre-Etienne Vert ; sous la direction de Jacques Lecoq / [S. l.] : [s. n.] , 2007
Computer chips and paper clips Volume 2, Case studies and policy perspectives : technology and women's employment / Heidi I. Hartmann, Robert E. Kraut, and Louise A. Tilly, editors ; Panel on Technology and Women's Employment, Committee on Women's Employment and Related Social Issues, Commission on Behavioral and Social Sciences and Education, National Research Council / Washington, D.C. : National Academy Press , 1987
Conception et étude d'un ellipsomètre spectroscopique à analyseur tournant : Comparaison avec un ellipsomètre spectroscopique à modulation de phase : Applications à la microélectronique / Marie-Laure Hidalgo ; sous la direction de Claude Llinares / [S.l.] : [s.n.] , [1996]
Propriétés de stockage de charges de nanocristaux de germanium incorporés dans des couches de silice par implantation ionique / Sébastien Duguay ; sous la direction de [Jean-Jacques Grob et Abdelilah Slaoui] / Grenoble : Atelier national de reproduction des thèses , 2006
How do Mechanics and Thermo mechanics affect microelectronic products : some residual stress and strain effects, investigations and industrial management = = Impacts mécaniques et thermomécaniques dans les produits de la microélectronique : effets des contraintes résiduelles et déformations, recherches et développements de méthodes numériques dans un cadre industriel / par Vincent Fiori ; sous la direction de Alain Combescure / , 2010
Contribution à l'étude des plots métalliques disposés périodiquement pour les circuits micro électroniques : application aux télécommunications (blindage, guidage, filtrage, antenne, matériaux à gap photonique) / par Ali Zeid ; sous la dir. de Henri Baudrand / [S.l.] : [s.n.] , 2001
Nanocircuits en silicium sur isolant élaborés par microscopie à force atomique / Nicolas Clément ; sous la direction de Viatcheslav Safarov / Grenoble : Atelier national de reproduction des thèses , 2003
Chemical mechanical planarization V : proceedings of the International Symposium / ed., S. Seal,... [et al.] / Pennington, N.J. : Electrochemical Society , cop. 2002
Mechanics of microelectronics / par G.Q. Zhang,... W.D. van Driel,... et X.J. Fan,... / Dordrecht, The Netherlands : Springer , cop. 2006
Advances in electronics and electron physics : volume 59 / New York : Academic Press , 1982
Approximation analytique de la solution d'équations différentielles partielles par le réseau de neuronesartificiels : application à la simulation thermique dans les microsystèmes / Efrain Jaime-Ang ; [sous la direction de] Daniel Estève / Grenoble : Atelier national de reproduction des thèses , 2004
Elaboration par pulvérisation cathodique et caractérisations électriques de films minces de PZT / par Gwénae͏̈l Le Rhun ; [sous la direction de] Gilles Poullain / [S.l.] : [s.n.] , 2004
Microfabricated systems and MEMS V : proceedings of the international symposium / editors, P.J. Hesketh ... [et al.] / Pennington, NJ : Electrochemical Society, Inc. , c2000
Formation and segregation in cobalt silicide and manganese germanide thin films : correlative study by electron microscopy and atom probe tomography / Hannes Zschiesche ; sous la direction de Claude Alfonso et de Dominique Mangelinck / , 2019
Le silicium, du sable aux puces 2, Puces microélectroniques, cellules solaires, MEMS / Alain Vignes / London : ISTE Editions Ltd
Etude de l'intégration de vias traversants réalisés par MOCVD en vue de l'empilement en 3D des composants microélectroniques / Monica Larissa Djomeni Weleguela ; sous la direction de Daniel Mathiot / , 2016
Étude des stratégies de modulation pour onduleur triphasé dédiées à la réduction des perturbations du bus continu en environnement embarqué / The Dung Nguyen ; sous la direction de Guy Friedrich et Nicolas Patin / [S.l.] : [s.n.] , 2011
The new alchemists : Silicon Valley and the microelectronics revolution / Dirk Hanson / Boston : Little, Brown , cop. 1982
La microélectronique : de l'électron à la fabrication des puces / Commissariat à l'énergie atomique. Direction de la communication et des affaires publiques / Paris : Commissariat à l'énergie atomique. [Direction de la communication et des affaires publiques] , 2002
Handbook of microlithography, micromachining, and microfabrication / P. Rai-Choudhury, editor / Bellingham, Wash. : SPIE Optical Engineering Press , [1997?]
Etude du piégeage de l'hydrogène implanté et application au transfert de couches fines de silicium / Aurélie Royal ; sous la direction de Alain Claverie et de Frédéric Mazen / , 2017
Optoelectronic interconnects and packaging : proceedings of a conference held 30-31 January 1996, San Jose, California / Ray T. Chen, Peter S. Guilfoyle, editors / Bellingham (Wash.) : SPIE Optical Engineering Press , cop. 1996
Microcircuit engineering 92 : proceedings of the International Conference on Microfabrication, September 21-24, 1992, Erlangen, Germany / ed. by Heiner Ryssel, Dieter Stefani / Amsterdam [etc.] : Elsevier , 1993
Analyse du comportement d'interconnexions damascènes en cuivre testées en électromigrations = = Behaviour analysis of copper damascene interconnects submitted to electromigration stresses : / par Thierry Berger ; sous la direction de Gérard Lormand / [S.l.] : [s.n.] , 2001
Contribution à l'étude de la miniaturisation des émetteurs récepteurs pour les communications mobiles : étude des potentialités de la technologie microruban sur substrat à haute permittivité / Abdel Fattah Sheta ; sous la direction de Serge Toutain / Grenoble : Atelier national de reproduction des thèses , 1996
IECON '84 : industrial applications of microelectronics : proceedings / 1984 International Conference on Industrial Electronics, Control and Instrumentation... Tokyo, Japan, October 22-26, 1984 / New York : the Institute of Electrical and Electronics Engineers , cop. 1984
Electronique de comptage de photons pour détecteur à pixels hybrides / Ivane Koudobine ; sous la direction de Pierre Delpierre / Grenoble : Atelier national de reproduction des thèses , 2005
Microélectronique / Jacob Millman, Arvin Grabel ; traduction française [de la 2e édition américaine par] Léon Collet / Auckland : McGraw-Hill , 1988
3e Colloque international sur les applications des techniques du vide à l'industrie des semiconducteurs, des composants électroniques et de la microélectronique. A.V.I. SEM 71 / organisé par la Société française des ingénieurs et techniciens du vide, Palais des Congrès, Versailles (France) 13 au 17 septembre 1971 / Paris : Société française des ingénieurs et techniciens du vide , 1971
Arbeit und Reproduktion : Umbrüche der Arbeit, Bewältigungsstrategien von Facharbeitern und Technikern / D. Brock [et al.] / München : DJI Deutsches Jugendinstitut
Etude de l'origine de la diffusion latérale et réalisation d'un procédé salicide WSI2 / Mouloud Bakli ; sous la direction de Alain Deneuville / Grenoble : Atelier national de reproduction des thèses , 1991
Caractérisation par faisceaux d'ions d'hétérostructures III-V pour les applications micro et optoélectroniques / Viktoriia Gorbenko ; sous la direction de Thierry Baron et de Franck Bassani et de Jean-Paul Barnes / , 2015
Flexible carbon-based electronics / edited by Paolo Samorì and Vincenzo Palermo / Weinheim, Germany : Wiley-VCH , 2018
Etude de mémoires flash intégrant des diélectriques high-k en tant qu'oxyde tunnel ou couche de stockage / Julien Buckley ; sous la direction de Georges Pananakakis et Barbara De Salvo / Grenoble : Atelier national de reproduction des thèses , 2006
An incremental approach for hardware discrete controller synthesis / Mingming Ren ; sous la direction de Eric Niel / , 2011
Strategische Handels- und Industriepolitik : theoretische Grundlagen, Branchenanalysen und wettbewerbspolitische Implikationen / Georg Bletschacher, Henning Klodt / Tübingen : J.C.B. Mohr (P. Siebeck) , c1992
Logiciels de mise en oeuvre de méthodes de nanoscopie optique assistée par ordinateur / par Benjamin Ngouah / [S.l.] : [s.n.] , [1991]
Microelectronics / edited by Jerry C. Whitaker / Boca Raton, FL : CRC Press , 2000
Photon processing in microelectronics and photonics II : 27-30 January, 2003, San Jose, California, USA / Alberto Piqué ... [et al.], chairs/editors ; sponsored and published by SPIE, the International Society for Optical Engineering / Bellingham (Wash.) : SPIE , cop. 2003
Étude de l'origine de la diffusion latérale et réalisation d'un procédé salicide WSI2 / Mouloud Bakli ; sous la direction de Alain Deneuville / , 1991
Electronic packaging and interconnection handbook / Charles A. Harper, ... / New York : McGraw-Hill , cop. 1991
Greffage de polyoxométallates hybrides sur surfaces planes / Corentin Rinfray ; sous la direction de Anna Proust / , 2014
Intégration de matériaux à forte permittivité électrique (High-k) dans les mémoires non-volatiles pour les générations sub-45 nm / Marc Bocquet ; sous la direction de Georges Pananakakis / Lille : Atelier national de reproduction des thèses , 2009
Définition de figures de mérite pour caractériser l'importance des effets selfiques dans les interconnexions submicroniques / Laurent Anzalbert / [S.l.] : [s.n.] , 2009
7th International Vacuum Microelectronics Conference : July 4-7, 1994, Grenoble = = 7e Colloque International de Microélectronique sous Vide : 4-7 juillet, 1994, Grenoble / organisé par la Société Française du vide / Paris : Société Française du vide , 1994
International Electron Devices Meeting, 1997, Washington, DC, December 7-10, 1997 : IEDM technical digest / sponsored by Electron Devices Society of IEEE / Piscataway, N.J. : Institute of Electrical & Electronics Engineers , cop. 1997
Mise au point d'un procédé de fabricaiton de structures métal-isolant-semi-conducteur (M.I.S.) : Caractérisation de la zone interfaciale et de l'isolant / Hasni Bendouma / , 1984
Conception et réalisation de bio-capteurs électromagnétiques pour l'analyse cellulaire aux fréquences micro-ondes [Ressource électronique] / Claire Dalmay ; sous la direction d'Arnaud Pothier et Pierre Blondy / Limoges : S.C.D. de l'Université , 2009
Fabrication d'une sonde pour le champ proche optique en technologie sol-gel / Bachar Mourched ; sous la direction de Pascale Gall-Borrut et de Kada R. Kribich / , 2012
Méthodologie d'évaluation par simulation de la sécurité des circuits face aux attaques par faute / Olivier Faurax ; sous la direction de Traian Muntean / , 2018
Papers : materials for optoelectronic devices, OEICs and photonics, May 4-7, 1993, Strasbourg, France ; ed. J.P. Hirtz, H. Meier and C. Whitehouse / presented at the European Materials Research Society 1993 Spring Meeting, Symposium A
Journées d'étude sur la gravure sèche en microélectronique : Grenoble, 24 au 26 novembre 1981 / Paris : Société française du vide , 1982
Etude des procédés de nettoyage des substrats de silicium en microélectronique / François Tardif ; sous la direction de François Arnaud d'Avitaya / Grenoble : Atelier national de reproduction des thèses , 2002
Dépôts par ESD et ALD et caractérisations physico-chimiques de couches d'oxydes à l'échelle nanométrique pour la microélectronique / Amélie Lintanf ; sous la direction d'Elisabeth Djurado et Arnaud Mantoux / , 2008
ICMTS 94 : proceedings of the 1994 International Conference on Microelectronic Test Structures: March 22-25, 1994, San Diego California / sponsored by the IEEE Electron Devices Society / [New York] : Institute of Electrical and Electronics Engineers , cop. 1994
Power electronics handbook / Fraidoon Mazda / 3rd ed. / Oxford [England] : Newnes , 1997
Développement et caractérisation d'un ASIC de lecture de macro-cellule de photo-détecteurs de grande dimension / Selma Conforti di Lorenzo ; [sous la direction de] M. J.E. Campagne [et de] C. de La Taille / Lille : Atelier national de reproduction des thèses , 2010
Simulation numérique du piégeage et du dépiégeage dans les oxydes de composants MOS / Claude Cirba.- ; sous la direction de Guy Bordure / [S.l.] : [s.n.] , [1996]
Propriétés de stockage de charges de nanocristaux de germanium incorporés dans des couches de silice par implantation ionique / Sébastien Duguay ; sous la direction de [Jean-Jacques Grob et Abdelilah Slaoui] / [S.l.] : [s.n.] , 2006
Le passage de la jeunesse à la maturité d'une entreprise "high tech" : le cas d'une entreprise de l'électronique professionnelle / Centre d'études et de recherches sur les qualifications, Programme d'observation de l'emploi et du travail en entreprise ; [réd. par] Fernando Faria de Oliveira / Paris : CEREQ , 1988
Thermoelectrics handbook : macro to nano / edited by D. M. Rowe,... / Boca Raton (Fla.) : Taylor & Francis , 2006
ICMTS 1990 : proceedings of the 1990 International Conference on Microelectronic Test Structures, March 5-7, 1990, San Diego, California / New York : Institute of Electrical and Electronics Engineers , [1990]
The PIC microcontroller : your personal introductory course / John Morton / 3ème éd. / Amsterdam : Elsevier/Newnes , cop 2005
Caractérisation électrique de l'endommagement par électromigration des interconnexions en cuivre pour les technologies avancées de la microélectronique / Lise Doyen ; sous la direction de Yves Wouters et Lucile Arnaud / Lille : Atelier national de reproduction des thèses , 2009
Proceedings of symposium S on Material science and technology of wide bandgap semiconductors of the 2006 European Materials Research Society meeting, Nice, France, 29 May-2June 2006 / guest editors, J. Freitas, B. Gil, B. Monemar... [et al.] / [Amsterdam] : Elsevier
Développement d'un dispositif microfluidique pour la détection de formaldéhyde à l'état gazeux / Daniel Mariuta ; sous la direction de Lucien Baldas et de Juergen J. Brandner / , 2022
Étude et modélisation des mécanismes de transport et de collection de charges dédiées à la prédiction de SEE dans les technologies fortement intégrées / Laurent Artola ; sous la direction de Guillaume Hubert / [S.l.] : [s.n.] , 2011
Réalisation d'interconnexions de faible résistivité à base de nanotubes de carbone biparois pour la microélectronique / Florent Seichepine ; directeur de thèse, Christophe Vieu et Emanuel Flahaut / Lille : Atelier national de reproduction des thèses , 2011
Microscale and Nanoscale Heat Transfer / edited by Sebastian Volz. / 1st ed. 2007. / Berlin, Heidelberg : Springer Berlin Heidelberg
Résines photosensibles pour microlithographie développables par plasma oxygène : (étude et mise au point) / par Olivier Maurin ; sous la direction de François Schué / [S.l.] , [1986]
Miniaturisation des oscillateurs "OCXO" pour applications spatiales / Nikolay Vorobyev ; sous la direction de Serge Galliou et de Joël Imbaud et de Thomas Baron / , 2016
Architectures DRAM [Mémoire dynamique à accès aléatoire] innovantes pour les applications CMOS [Architecture MOS complémentaire] embarquées à haute densité d'intégration / par Rossella Ranica ; sous la direction de Rachid Bouchakour et de Pascal Masson / [S.l.] : [s.n.] , 2005
Compact modeling of magnetic tunnel junctions and design of hybrid CMOS-magnetic integrated circuits / Wei Guo ; sous la direction de Bernard Dieny ; Guillaume Prenat co-directeur / , 2010
Méthodologie de caractérisation de paramètres thermomécaniques de matériaux pour la microélectronique / par Olivier Perat ; [sous la direction de] J. M. Dorkel ; [sous la direction de] E. Scheid / Grenoble : Atelier national de reproduction des thèses , 2002
Rapport d'activité : année 1999 / Laboratoire d'Informatique, de Robotique et de Microélectronique de Montpellier / Montpellier : LIRM , 1999
Matériaux et technologies pour la microélectronique : tendances actuelles = = Present trends in microelectronics materials and technologies : Université des sciences et techniques du Languedoc, Montpellier, France, 16 au 19 novembre 1976 / organisé par la Société française du vide, avec le concours de la D.G.R.S.T. et la D.R.M.E / Paris : La Société , [1977?]
Data acquisition products for commercial and industrial applications / Harris Semi-conductor / Melbourne, FL. : The Company
Proceedings of the Second International Symposium on Electrochemical Microfabrication / editors, Madhav Datta, Keith Sheppard, John O. Dukovic / Pennington, NJ : Electrochemical Society , c1995
Micro and nanoelectronics : emerging device challenges and solutions / edited by Tomasz Brozek, Krzysztof Iniewski / Boca raton : CRC Press , 2017
Eléments de microordinateurs : du transistor au Z80 : logique, programmation & interfaces / M. Boutaleb-Joutei / [3e éd.] / St-Laurent (Québec) : Protec microsystèmes , 1983
Étude des mécanismes de dégradation de la mobilité sur les architectures FDSOI pour les noeuds technologiques avancés (<20nm) / Sébastien Guarnay ; sous la direction de Arnaud Bournel / , 2015
Le Vide / Paris : Société française des ingénieurs et techniciens du vide , 1940-1978
Microélectronique. 4, traitement de signeaux et saisie de données / Jacob Millman, Arvin Grabel ; trad. [de la 2e éd. américaine par] Léon Collet / Auckland : McGraw-Hill , 1988
Micro and nanoengineering - MNE 2006 : proceedings of the 32th International Conference on micro- and nano-engineering, September 17-20, 2007, Barcelona, Spain / ed. Joan Baussels, Gabriel Abadal et Francesc Pérez-Murano / Amsterdam : Elsevier , 2007
Fundamentals of microfabrication : the science of miniaturization / Marc J. Madou / 2nd edition / Boca Raton (Fla.) : CRC Press , cop. 2002
Méthode de modélisation prédictive de boîtiers des circuits intégrés en vue d'anticiper avant design l'immunité au bruit du circuit / Afef Bouchaala ; sous la direction de Olivier Bonnaud / , 2016
Méthodologie d'évaluation par simulation de la sécurité des circuits face aux attaques par faute / Olivier Faurax / Villeurbanne : [CCSD] , 2009
Gestion de la contamination en microélectronique avancée / Yannick Borde ; sous la direction de Jean-Charles Joud et Alain Denoyelle / Lille : Atelier national de reproduction des thèses , 2008
Détermination par linéarisation des paramètres caracteristiques dune jonction p-n en microélectronique / par Sami Dib ; sous la direction de Pierre Miahle / Grenoble : Atelier national de reproduction des thèses , 2001
Design for manufacturability and yield for nano-scale CMOS / by Charles C. Chiang and Jamil Kawa,... / Dordrecht : Springer , cop. 2007
Matériaux High-k dans les mémoires non-volatiles avancées : intégration de matériaux à forte permittivité électrique dans les mémoires non-volatiles pour les générations sub-45nm / Marc Bocquet / Saarbrücken : Presses Académiques Francophones
Etude, montage et optimisation de mélangeurs et de multiplicateurs de fréquences à diode Schottky en ondes millimétriques et submillimétriques (F>100 GHz) / par Patrice Landry / Grenoble : Ecole Nationale Supérieure d'électronique et de radioélectricité , 1992
Optimisation de la récupération d'énergie dans les applications de rectenna / Salah-Eddine Adami ; sous la direction de Christian Vollaire et de François Costa / , 2013
Integrated circuits : trends in intellectual property protection / Organisation des Nations Unies pour le développement industriel / [Lieu de publication inconnu] : UNIDO , 1989
TLMDT : une stratégie de modélisation basée sur temps distribué pour la simulation prallèle de systèmes multi-processeurs intégrés sur puce / Aline Vieira De Mello ; sous la direction de Alain Greiner / Lille : Atelier national de reproduction des thèses , 2013
Analyse statistique de l'impact des variations locales sur les courses de signaux dans une mémoire SRAM embarquée / Michael Yap San Min ; sous la direction de Michel Robert / Montpellier : Université de Montpellier 2 Sciences et Techniques du Languedoc , 2008
Horloge atomique microonde à technologie de microcellule améliorée et régime d'interrogation impulsionnel / Clément Carlé ; sous la direction de Rodolphe Boudot et de Nicolas Passilly / , 2023
Colloque sur la microélectronique Tome I, Physique et chimie des matériaux de l'électronique : 3 au 8 mars 1969, Toulouse / Toulouse : Université de Toulouse , 1969
Quantum heterostructures : microelectronics and optoelectronics / Vladimir V. Mitin, Viatcheslav A. Kochelap, Michael A. Stroscio / Cambridge : Cambridge University Press , 1999, cop. 1999
Obtention et caractérisation de couches minces supraconductrices et diélectriques déposées par pulvérisation ionique simple ou réactive / Daniel Bouchier / , 1985
Elaboration et caractérisation de quelques diélectriques à forte permittivité avec application en microélectronique / influence de la structure du réseau sur les propriétés électriques ; sous la direction de Tito Busani / Grenoble : Atelier national de reproduction des thèses , 2006
Digital holography for MEMS and microsystem metrology / edited by Anand Asundi / Chichester (GB) : Wiley , cop. 2011
Fundamentals of power electronics / Robert W. Erickson, Dragan Maksimović / Third edition / Cham : Springer
Solution générique pour l'adressage matriciel de micro-actionneurs thermiques et optimisation de micro-sources thermiques / Maxime Dumonteuil ; sous la direction de Thierry Camps / Grenoble : Atelier national de reproduction des thèses , 2006
Microelectronics : design, technology, and packaging II : 12-14 December 2005, Brisbane, Australia / Alex J. Hariz, chair/editor ; sponsored and published by SPIE, the International Society for Optical Engineering / Bellingham (Wash.) : SPIE , 2006
Defects in microelectronic materials and devices / edited by Daniel M. Fleetwood, Sokrates T. Pantelides, Ronald D. Schrimpf / Boca Raton (Fla.) : CRC press
VLSI electronics microstructure science 11, GaAs microelectronics / edited by Norman G. Einspruch, William R. Wisseman / Orlando (Fla) : Academic Press , 1985, cop. 1985
Gravure de l'aluminium en plasmas halogénés basse pression-influence du facteur d'aspect / par Philippe Boyer ; sous la direction de Jean-Claude Portal / [S.l] : [s.n] , [1993]
Mems packaging / edited by Tai-Ran Hsu / London : Institution of Electrical Engineers , 2004
Performance de la mémoire DRAM embarquée sans capacité sur film mince / Sophie Puget ; sous la direction de Rachid Bouchakour et Jean-Michel Portal / , 2009
Semiconductor device and failure analysis : using photon emission microscopy / Wai Kin Chim / Chichester, [England] : Wiley , cop.2000
Les risques des nanotechnologies / Claude Weisbuch et Claire Weill, conférenciers et directeurs scientifiques ; production L'Université de tous les savoirs - La suite / Vanves : SFRS [distrib.] , 2006
Contrôle dimensionnel sub-micrométrique utilisant un appareil goniométrique bidimensionnel rapide / Jérôme Petit ; sous la direction de Patrick Schiavone / , 2005
Elaboration de couches épaisses piézoélectriques déposées sur substrats pour des applications microtechniques = = Elaboration of piezoelectric thick films deposed on substrat for microtechnique application : / par Sarah Le Dren ; sous la direction de Paul Gonnard et Alain Nicolas / [Lieu de publication inconnu] : [Éditeur inconnu] , 2000
Mécanismes d'enlèvement de particules par laser impulsionnel : application au nettoyage pour la microélectronique / David Grojo ; sous la direction de P. Delaporte / Grenoble : Atelier national de reproduction des thèses , 2006
Aspects de la microélectronique : promotion 1987 / Claude Weisbuch / Palaiseau : École polytechnique , 1990
Micron and submicron circuit engineering : special issue / published by the Institut of Electrical and Electronics Engineers / New York : IEEE , 1983
Le Vide, les couches minces / Paris : Société française du vide , 1979-1994
New technology and the workers' response : microelectronics, labour and society / New Delhi : Sage , 1995
Systèmes de mesures informatisés : mise en œuvre des microprocesseurs et microcontrôleurs en instrumentation / Tran Tien Lang,... / Paris : Masson , DL 1990
Microcaractérisation de matériaux de la microélectronique utilisant la méthode de la signature acoustique V(z) / par Christine Amaudric du Chaffaut ; sous la direction de Jacques Attal / [S.l.] : [s.n.] , [1990]
Simulation, conception et caractérisation de microcathodes à émission de champ sur arséniure de gallium : intégration d'une grille de commande / par Olivier Yaradou ; sous la direction de André Vanoverschelde / Villeneuve d'Ascq : Université Lille 1 - Sciences et technologies , 2013
Chambres à vapeur ultra-minces en silicium embarquées pour l'atténuation de points chauds sur les dispositifs de la microélectronique / Quentin Struss ; sous la direction de Luc G. Fréchette et de Abdelkader Souifi / , 2020
チップに組み込め! : マイクロエレクトロニクス革命をもたらした男たち / T.R.リード ; 鈴木主稅, 石川涉 訳 / 東京 : 草思社 , 1986
Mesure de la température transitoire des composants électroniques actifs sur un banc réflectométrique / P. E. Payet / Paris : CNAM , 2000
Rapport d'activité 89 / LETI [Laboratoire d'électronique et de technologie de l'informatique] / Grenoble : LETI , 1990
Caractérisation, modélisation et simulation des transistors SOI MOSFET décananométriques / Noel Rodriguez Santiago ; sous la direction de Sorin Cristoloveanu et Francisco Gamiz / , 2008
Films minces et dispositifs à base de LixCoO₂ pour application potentielle aux mémoires résistives non volatiles / van-Son Nguyen ; sous la direction de Olivier Schneegans et de Philippe Lecoeur / , 2017
Procédés thermiques rapides pour la réalisation de diélectriques ultra-minces sur silicium. Caractérisation par spectroellipsométrie = = Titre eng : Sous-titre eng / par Nathalie Gonon ; sous la direction de Daniel Barbier / Grenoble : Atelier national de reproduction des thèses , 1993
Steady-state methods for simulating analog and microwave circuits / Kenneth S. Kundert,... Jacob K. White,... et Alberto Sangiovanni-Vincentelli,... / Boston : Kluwer Academic Publishers , cop. 1990
Essential issues in SOC design : designing complex systems-on-chip / edited by Youn-Long Steve Lin / Dordrecht : Springer , cop.2006
Réduction de puissance durant le test par scan des circuits intégrés / Nabil Badereddine / Villeurbanne : [CCSD] , 2006
Contribution à l’optimisation du dimensionnement de composants passifs intégrés pour l’électronique de puissance / Dac Kien Lai ; sous la direction de Yves Lembeye / Villeurbanne : CCSD , 2011
Détermination par linéarisation des paramètres caracteristiques dune jonction p-n en microélectronique / par Sami Dib ; sous la dir. de Pierre Miahle / [S.l.] : [s.n.] , 2001
Circuits micro-électroniques et technique numérique / I. Boukréev, B. Mansourov, V. Goriatchev ; [traduit du russe par V. Gourbanovski] / Moscou : Mir
Développement d'un dispositif microfluidique pour la détection de formaldéhyde à l'état gazeux / Daniel Mariuta ; sous la direction de Lucien Baldas et de Juergen J. Brandner / Toulouse : INSA Toulouse , 2022
Nouvelles approches d'intégration pour les microsystèmes optiques / Jérôme Valentin / Villeurbanne : [CCSD] , 2005
Analyse statistique de l'impact des variations locales sur les courses de signaux dans une mémoire SRAM embarquée / Michael Yap San Min / Villeurbanne : [CCSD] , 2008
Méthodologie d'évaluation par simulation de la sécurité des circuits face aux attaques par faute / Olivier Faurax ; sous la direction de Traian Muntean / Lille : Atelier national de reproduction des thèses , 2008
Etude des non-linéarités de permittivité de diélectriques utilisés en microélectronique : application aux capacités MIM [Métal-Isolant-Métal] / par Stéphane Bécu,... ; sous la direction de Jean-Luc Autran / Grenoble : Atelier national de reproduction des thèses , 2006
Microelectronics education : proceedings of the 3rd European Workshop on Microelectronics Education : hosted by ST University (ST Microelectronics), France, May 18 & 19, 2000 / ed. by B. Courtois ... [et al.] / Dordrecht : Kluwer Academic Publishers , cop. 2000
HITEN 99 : the Third European Conference on High Temperature Electronics / [sponsored by]: IEEE Electron Devices Society...[et al.] / Abingdon, UK : AEA Technology
3D Microelectronic Packaging : From Fundamentals to Applications / edited by Yan Li, Deepak Goyal. / Cham : Springer International Publishing , 2017
Approximation analytique de la solution d'équations différentielles partielles par le réseau de neuronesartificiels : application à la simulation thermique dans les microsystèmes / Efrain Jaime-Ang ; [sous la dir. de] Daniel Estève / [S.l.] : [s.n.] , 2004
Contrôle des procédés thermiques rapides appliqués à la microélectronique / par Nicolas Nolhier ; sous la direction de Jean-Marie Dilhac / [S.l] : [s.n] , [1992]
Proceedings of the 1976 International Microelectronics Symposium : Oct. 11-13, 1976, Vancouver, B.C., Canada / International Microelectronics Symposium / Montgomery, Ala. : International Society for Hybrid Microelectronics , cop. 1976
Test et diagnostic de fautes dynamiques dans les mémoires SRAM / Alexandre Ney ; sous la direction de Patrick Girard / Lille : Atelier national de reproduction des thèses , 2008
High-frequency switching power supplies : theory and design / George Chryssis / 2nd ed / New York : McGraw-Hill , cop. 1989
Une méthode d'alignement d'images pour l'inspection automatique en microélectronique : application aux réticules et aux masques / Youssef Daher / , 1985
15th international workshop on THERMal INvestigations of ICs and systems [Multimédia multisupport] : Leuven, Belgium, 7-9 October 2009 / [Bernard Courtois, Márta Rencz, Martine Baelmans,...[et al.]] / Grenoble : EDA publishing , cop. 2009
Les nanosciences 1, Nanotechnologies et nanophysique / sous la direction de Marcel Lahmani, Claire Dupas, Philippe Houdy / 3e édition revue et augmentée / Paris : Belin , DL 2009
IECON '85 : 1985 International Conference on Industrial Electronics, Control and Instrumentation... San Francisco, California, November 18-22, 1985 : industrial applications of mini, micro & personal computers : proceedings / sponsored by the Industrial Electronics Society of the Institute of Electrical and Electronics Engineers (IES of IEEE) ; in association with the Society of Instrument and Control Engineers (SICE) of Japan / New York : the Institute of Electrical and Electronics Engineers , cop. 1985
The Physics and Fabrication of Microstructures and Microdevices : Proceedings of the Winter School Les Houches, France, March 25-April 5, 1986 / Michael J. Kelly, Claude Weisbuch / Cham : Springer International Publishing
Développement de technologies de fabrication de transistors bipolaires en VLSI = = Process development for making bipolar transistor in VLSI : / par Nicolas Degors ; sous la direction de Alain Nouailhat / [Lieu de publication inconnu] : [éditeur inconnu] , 1992
Etude et intégration de jonctions ultra-fines pour les technologies CMOS 45 nm et en deçà = = Ultra Shallow Junotion studies and integration for 45 nm and below technology node : / par Benjamin Dumont ; sous la direction de Thomas Skotnicki et Abdelkader Souifi / Lille : Atelier national de reproduction des thèses , 2007
La Spécialisation industrielle de douze pays européens avant et après 1973 : étude / réalisée par le Centre d'études prospectives et d'informations internationales (CEPII) ; pour la Commission des Communautés européennes dans le cadre du Programme Forecasting and assessment in the field of science and technology, FAST. / Paris : CEPII , 1980
Corrélation entre les procédés de fabrication, les propriétés microstructurales et les propriétés mécaniques de couches minces métalliques pour applications microsystèmes / Julien Martegoutte ; sous la direction de Christophe Malhaire / , 2012
Macro-modélisation des structures MOS "haute tension" intégrées avec prise en compte de l'auto-échauffement = = Sub-circuit modelling of “ high voltage “ integrated MOS structures considering self-heating : / par Anna Canepari ; sous la direction de Jean-Pierre Chante / [S.l.] : [s.n.] , 2006
Proceedings / of the 1991 International Symposium on Microelectronics, October 21-23, 1991, Orange County Convention Center, Orlando, Florida ; sponsored by the International Society for Hybrid Microelectronics / Reston, VA : International Society for Hybrid Microelectronics , cop. 1991
Grains de technopole : micro-entreprises grenobloises et nouveaux espaces productifs / Michel Bernardy de Sigoyer, Pierre Boisgontier... ; [publié par le] Centre d'étude des pratiques sociales... de l'Université des sciences sociales de Grenoble / Grenoble : Presses universitaires de Grenoble , 1988
Electric Circuits, Systems, and Motors / by Timothy A. Bigelow / Cham : Springer International Publishing , 2020
Fundamentals of Power Electronics / Robert W. Erickson, Dragan Maksimović / 3e édition / Cham : Springer , 2020
Limites de l'intégration des masques de gravure et d'un matériau diélectrique hybride pour la fabrication des interconnexions en microélectronique / Julien Ducoté / Villeurbanne : [CCSD] , 2012
Elaboration par PE-MOCVD à injection pulsée et caractérisation de matériaux à forte permittivité de type multicouches ou alliées pour des appliations capacités MIM / Maurice Kahn / Villeurbanne : [CCSD] , 2010
Formation de WSi2 par réaction directe métal-silicium : applications en micro-électronique : thèse / par Jean Lajzerowicz / Paris : Ecole nationale supérieure des télécommunications , 1987
Stress induced phenomena in metallization : fifth international workshop, Stuttgart, Germany, June, 1999 / editors, Oliver Kraft, Eduard Arzt, Cynthia A. Volkert / Woodbury, N.Y. : American Institute of Physics , cop. 1999
Traitement de surface sur Sicilium / Patrick Reynaud, / [Lieu de publication inconnu] : [Éditeur inconnu] , 2002
La Micro-électronique et son impact socio-économique : éléments de diagnostic / J. Rada ; [Organisation internationale du travail, Programme mondial de l'emploi] / Genève : Bureau international du travail , 1982
La puce et l'ordinateur / Emmanuel Rosencher / [Paris] : Flammarion , impr. 1994
50 ans de mémoire : les gens qui ont fait la microélectronique à Grenoble / Catherine Dutheil-Pessin / Condé-sur-Noireau : Charles Corlet , DL 2009
Proceedings of the 1978 International Microelectronics Symposium : September 25-27, 1978, Radisson Hotel Downtown, Minneapolis, MN / sponsored by the International Society for Hybrid Microelectronics ; [edited and assembled by the 1978 Technical Program Committee and the society staff] / Montgomery, Ala. : The Society , cop. 1978
Miniaturisation et intégration d'antennes imprimées pour systèmes communicants ULB pulsés / par Ali Chami ; sous la direction de Georges Kossiavas / Lille : Atelier national de reproduction des thèses , 2011
Etude des effets parasites du transistor à haute mobilité électronique (HEMT) sur InP pour applications micro-optoélectroniques / par Christelle Aupetit-Berthelemot ; sous la direction de Jean-Michel Dumas / [S.l.] : [s.n.] , 1998
Études des contraintes mécaniques dans les édifices d'interconnexions d'un procédé submicronique / par Philippe Le Duc ; sous la direction de Dominique Collard / [S.l.] : [s.n.] , 1999
Contribution à la modélisation de problèmes de télédétection en électromagnétisme et fiabilité des mémoires non volatiles : Mémoire présenté pour obtenir une habilitation à diriger des recherches / Gilles Micolau / [S.l.] : [s.n.] , 2011
Microwave Electronics / by Andrey D. Grigoriev ; Vyacheslav A. Ivanov, Sergey I. Molokovsky / 1st ed. 2018. / Cham : Springer International Publishing , 2018
Mise au point et optimisation d'étapes de gravure par plasma dans la fabrication de procédés BiCMOS haute fréquence / Jérôme Lenormand ; [sous la dir. de] Bernard Mercey / [s.l.] : [s.n.] , 2001
Développement d'un nouvel instrument couplant FIB/SEM UHV et OTOF-SIMS à haute résolution spatiale pour la microélectronique et ses applications / Jean Almoric ; sous la direction de Isabelle Berbezier et de Antoine Ronda et de Arnaud Houël / , 2021
Techniques de tolérance aux fautes : conception des circuits fiables dans les technologies avancées / Diarga Fall ; sous la direction de Michael Nicolaïdis et de Lorena Anghel / , 2013
Validation et caractérisation de mémoires pseudo-dynamiques en technologie CMOS submicronique 0.35, 0.25um. / Georget Lemoyne / , 1998
Mécanismes d'enlèvement de particules par laser impulsionnel : application au nettoyage pour la microélectronique / David Grojo ; sous la dir. de P. Delaporte / , 2006
Traitements plasmas post gravure pour l'intégration des matériaux SiOCH poreux dans les interconnexions en microélectronique / Régis Bouyssou ; sous la direction d'Olivier Joubert / Lille : Atelier national de reproduction des thèses , 2009
Etude des défauts induits par recuit laser excimère dans le silicium / Richard Monflier ; sous la direction de Filadelfo Cristiano et de Elena Bedel-Pereira / Toulouse : Université Toulouse 3 , 2019
Caractérisation physico-chimique, simulation et modélisation d'une technologie analogique avancée BICMOS = = Physico-chemical cbaracterization simulation and modelization of an BICMQS Advanced Analogue Technology : / par Marc Tarabbia ; sous la direction de Jean-Claude Dupuy / [Lieu de publication inconnu] : [éditeur inconnu] , 1993
Simulation, conception et caractérisation de microcathodes à émission de champ sur arséniure de gallium : intégration d'une grille de commande / par Olivier Yaradou ; sous la direction de André Vanoverschelde / [S.l.] : [s.n.] , 2000
Solid State Devices, 1981 : ten invited papers / presented at the ESSDERC 81 (11th European Solid State Device Research Conference) ; in collaboration with the 6th Symposium on Solid State Device Technology, held at the University Paul Sabatier, Toulouse (France), September 14th-17th, 1981 ; co-sponsored by the European Physical Society (EPS), Convention of National Societies of Electrical Engineers of Western Europe (EUREL), Société française de physique (SFP) ; organised in cooperation with the Electron Device Society of IEEE ; publié sous le patronage de la Société française de physique / Les Ulis : les Éd. de physique , DL 1982
Modélisation des effets de déplacements atomiques induits par irradiation dans les matériaux pour la microélectronique / Thomas Jarrin ; sous la direction de Anne Hemeryck et de Nicolas Richard / , 2021
Proceedings of the Third Symposium on Silicon Nitride and Silicon Dioxide Thin Insulating Films / editors Vik J. Kapoor and William D. Brown ; [sponsored by the] Dielectric Science and Technology and Electronics Divisions / Pennington, NJ : Electrochemical Society , c1994
Engineering and technology for healthcare / edited by Muhammad Ali Imran, Rami Ghannam, Qammer H. Abbasi / First edition. / Hoboken : John Wiley & Sons, Inc. , cop. 2021
Conception et réalisation d'un sondeur de canal multi-capteur utilisant les corrélateurs cinq-ports pour la mesure de propagation à l'intérieur des bâtiments / Van Yem Vu ; sous la direction de Xavier Bégaud, Bernard Huyart / Paris : École nationale supérieure des télécommunications , DL 2006
Sputtering materials for VLSI and thin film devices / Jaydeep Sarkar / Waltham, USA : Elsevier, WA, William Andrew is an imprint of Elsevier , 2014
Microsensors / edited by Richard S. Muller,... Roger T. Howe,... Stephen D. Senturia,... [et al.] / New York : Institute of Electrical and Electronics Engineers
Développement de microcapteurs chimiques de type ISE et ISFET à base de films minces de verres chalcogénures. Application à la détection sélective de l'ion Cu2+ / Olivier Valls / [S.l.] : [s.n.] , [2001]
Applications of microelectronics : symposium held at the University of Southampton, 21-23 September 1965 / [London : Institution of Electrical Engineers , 1965
Simulation numérique de la diffusion de dopants dans les matériaux III-V pour les composants microoptoélectroniques / Jérôme Marcon ; sous la direction de Kaouther Ketata / , 1996
Conception des fonctions analogiques d'un circuit intégré durci aux radiations pour le détecteur Preshower de l'expérience CMS / Michel Dupanloup / [s.l.] : [s.n.] , 2003
Dépôt et caractérisation de GaAs(x)S(y) et étude des dispositifs mémoires EEPROM : Mémoire présenté pour obtenir une habilitation à diriger des recherche / Pierre Canet / [S.l.] : [S.n.] , 2003
Multi-level fault-tolerance in network-on-chip / Claudia Rusu ; sous la direction de Michael Nicolaidis ; Lorena Anghel co-encadrante / , 2010
Étude et réalisation d'un duplexeur SOI accordable multibande pour les futures générations de systèmes de téléphonie mobile / Zakaria Settaf ; sous la direction de Claude Duvanaud et de Smaïl Bachir / , 2016
Journées d'étude : epitaxie et passivation des composés III-V comptes rendus des 2èmes journées d'étude, 27-28 avril, 1988, Plestin les Grèves / Paris : SFV , 1988
Dispositifs à semiconducteurs / Jacob Millman, Arvin Grabel ; traduction française Léon Collet / Paris : Ediscience , 1994
Mixed design of integrated circuits and systems / edited by Andrzej Napieralski ... [et al.] / Boston : Kluwer Academic , Cop. 1998
Les nanotechnologies / Michel Wautelet et coll. ; préface de Jean-Marie Lehn,... / 2e édition / Paris : Dunod , DL 2007
ESPRIT ʾ90 : Proceedings of the / Annual ESPRIT Conference, Brussels, November 12-15, 1990 ; edited by Commission of the European Communities, Directorate-General Telecommunications, Information Industries, and Innovation / Dordrecht : Kluwer Academic , cop. 1990
Microelectronic materials / C.R.M. Grovenor,... / Bristol : A. Hilger , cop. 1989
Etude, développement et validation d'un concept d'architecture électronique sans temps mort pour TEP de haute sensibilité / Pierre-Etienne Vert / Villeurbanne : [CCSD] , 2007
Fundamentals of silicon integrated device technology Volume 1, Oxidation, diffusion and epitaxy / edited by R. M. Burger and R. P. Donovan,... / Englewood Cliffs, N. J. : Prentice-Hall , cop. 1967
Étude et conception d'opérateurs analogiques en CMOS, pour des applications basses fréquences, faibles tensions et faibles consommations / Aimad El Mourabit ; sous la direction de Guo-Neng Lu / Grenoble : Atelier national de reproduction des thèses , 2005
Etude et conception de modules en vue de l'intégration dans un système sur puce / Héni Arab / [Lieu de publication inconnu] : [Éditeur inconnu] , 2004
Cohérence partielle en micro lithographie optique / Jean Massin ; [sous la direction de] Michel Lacombat / , 1980
Conception d'un système intégré ultra basse tension pour l'électroencéphalographie / Alfredo Farid Bautista Delgado ; sous la direction de Sergio Omar Martinez Chapa et Olivier Rossetto / , 2009
Gestion de la contamination en microélectronique avancée / Yannick Borde ; sous la direction de Jean-Charles Joud et Alain Denoyelle / , 2008
Prothèses auditives et microélectronique / par Marc Rodier ; sous la direction de Jean-Pierre Morucci / Toulouse : Corep , 1984
Proceedings : European Hybrid Microelectronics Conference 1981 : Avignon, France, May 20, 21, & 22 / European Hybrid Microelectronics Conference / [Avignon?] : International Society for Hybrid Microelectronics Europe , [1981?]
Physique et hautes technologies : semi-conducteurs / Michel Voos, Henri-Jean Drouhin, Bernard Drévillon / Edition 2000 / Palaiseau : Ecole polytechnique , 2000
Get started with the SensorTile.box / Dogan Ibrahim / Susteren : Elektor
Electron-beam technology in microelectronic fabrication / ed. by George R. Brewer / New York [etc.] : Academic Press , 1980
Assignation technologique sur bibliothèques virtuelles de portes complexes CMOS / André Inacio Reis ; sous la dir. de Michel robert / [S.l.] : [s.n.] , [1998]
Synthèse et étude préliminaire du comportement microlithographique de résines siliciées sensibles aux irradiations UV et visible / par Abou Madi Wadeh / Grenoble : Atelier national de reproduction des thèses , 1987
Les nanosciences [1], Nanotechnologies et nanophysique / sous la direction de Marcel Lahmani, Claire Dupas, Philippe Houdy / Paris : Belin , DL 2004
From electronics to microelectronics : fourth European Conference on Electronics, EUROCON '80, Stuttgart, Germany, March 24-28, 1980 : preprints / edited by W. A. Kaiser and W. E. Proebster / Amsterdam : North-Holland Publishing Company , 1980
Etude et réalisation de transistors à nanotubes de carbone pour la détection sélective de gaz / par Louis Gorintin ; sous la direction de Marc Chatelet / Lille : Atelier national de reproduction des thèses , 2011
Proceedings of the 2nd international conference on benefiting from thermal and mechanical simulation in (micro)-electronics, 9-11 April 2001, Maison de la Chimie, Paris, France / ed. by G. Q. Zhang, L. J.Ernst, O. de Saint Leger ; organized by the compete thematic network / Paris : Europia , 2001
Caractérisation et modélisation thermomécanique des couches d’interconnexions dans les circuits sub-microélectroniques / par Nathalie Cherault / Villeurbanne : [CCSD] , 2007
Future trends in microelectronics : journey into the unknown / edited by Serge Luryi, Jimmy Xu, Alexander Zaslavsky / [Hoboken (N.J.)] : Wiley , 2016
Solution générique pour l'adressage matriciel de micro-actionneurs thermiques et optimisation de micro-sources thermiques / Maxime Dumonteuil ; directeur de thèse Thierry Camps / [S.l.] : [s.n.] , 2006
Caractérisation et développement d'architectures 3 D pour capacités métal-isolant-métal intégrant des électrodes en cuivre et des diélectriques à permittivité élevée / Maryline Thomas ; sous la direction de Bernard Chenevier / Lille : Atelier national de reproduction des thèses , 2007
Circuits intégrés VLSI : promotion 2005, année 3, majeure 1, PHY568 / Yvan Bonnassieux, Gérard Fontaine, Alain Greiner / Palaiseau : École polytechnique , 2007
When new products, new technology and new work design meet old organizational actors : the social construction of an innovation network / Denis Harrisson, Murielle Laberge / Hull : Université du Québec à Hull, Département de relations industrielles , 2001
Modélisation et simulation basée sur systemC des systèmes monopuces au niveau transactionnel pour l'évaluation de performances / Rocco Le Moigne ; Jean-Paul Calvez, directeur de thèse et Olivier Pasquier, co-encadrant / [S.l.] : [s.n.] , 2005
Forces capillaires : une solution d'avenir pour les microsystèmes / Jean Berthier ; sous la direction de Christian Frétigny / [S.l.] : [s.n.] , 2011
Electron beams and microwave vacuum electronics / Shulim E. Tsimring / Hoboken, N.J. : Wiley-Interscience , cop. 2007
Miniaturisation des antennes large bande à l'aide de matériaux artificiels / Michaël Grelier ; sous la direction de Xavier Bégaud et Anne-Claire Lepage / Lille : Atelier national de reproduction des thèses , 2011
Proceedings of the Second Symposium on Microstructures and Microfabricated Systems / editors D. Denton ... [et al.] ; Electrochemical Society, Sensor, Electronics, and Dielectric Science and Technology Divisions / Pennington, NJ : Electrochemical Society , cop. 1995
Etude de la dégradation de la protection par des résines photosensibles de la grille métallique TiN lors de gravures humides pour la réalisation de transistors de technologies sub-28nm / Mathieu Foucaud ; sous la direction de Erwine Pargon / , 2015
Mesoscopic electromagnetic model of carbon-nanotube arrays and scalable technological processes : Application to the fabrication of novel antennas / Pierre Franck ; sous la direction de Dominique Baillargeat ; co-dirigée par Beng Kang Tay / Lille : Atelier national de reproduction des thèses , 2013
Manipulation of magnetic anisotropy in ferromagnetic semiconductors / Murat Cubukcu ; sous la direction de Hans Jurgen von Bardeleben / Lille : Atelier national de reproduction des thèses , 2010
Conception d'éléments rayonnants pour systèmes d'interrogation multi-antennaires en environnements de propagation difficiles et fortement bruités / par Rafik Addaci ; sous la direction de Robert Staraj et de Cyril Luxey / Lille : Atelier national de reproduction des thèses , 2011
Chimie en microélectronique / sous la direction de Yannick Le Tiec / Paris : Hermes science , DL 2013
Signal Integrity - Aware Pattern Generation for Delay Testing / Anu Asokan ; sous la direction de Serge Pravossoudovitch et de Patrick Girard / , 2015
Calorimétrie semi-digitale auprès d'un collisionneur linéaire : développement d'une électronique d'acquisition, de compression et de transfert des données / par Clément Jauffret / Villeurbanne : [CCSD] , 2009
Reference data for radio engineers / International telephone and telegraph corporation / 4th ed. / New York : ITT , cop.1956
Silicon nitride in electronics / V.I. Belyi ... [et al.] / Amsterdam : Elsevier , 1988
Propriétés de stockage de charges de nanocristaux de germanium incorporés dans des couches de silice par implantation ionique [Ressource électronique] / Sébastien Duguay ; sous la direction de [Jean-Jacques Grob et Abdelilah Slaoui] / Strasbourg : Université Louis Pasteur , 2007
Microcapteurs intégrés dans les diffuseurs thermiques diphasiques sur SOI : application au refroidissement de dispositifs microélectroniques / Bogdan Bercu ; sous la direction de Panagiota Morfouli et Laurent Montès / , 2008
Physique et technologie du brasage tendre par faisceau laser / Cédric Chaminade ; sous la direction de [Eric Fogarassy] / Grenoble : Atelier national de reproduction des thèses , 2006
Développement de procédés de gravure de grille métallique W, WN pour les noeuds technologiques sub-45 nm / Thomas Morel ; sous la direction d'Olivier Joubert / Lille : Atelier national de reproduction des thèses , 2009
Ambient Intelligence with Microsystems : Augmented Materials and Smart Objects / Kieran Delaney / Boston, MA : Springer , cop. 2008
Microélectronique. 3, amplificateurs et systèmes amplificateurs / Jacob Millman, Arvin Grabel ; trad. [de la 2e éd. américaine par] Léon Collet / Paris : Ediscience international , 1994
16èmes journées nationales microondes : 27 - 28 - 29 mai 2009, Grenoble Europole - Centre de congrès – Minatec : Résumé des Actes de la Conférence / [organisé par] IMEP-LAHC / [Lieu de publication inconnu] : [éditeur inconnu] , [2009?]
Dielectric films for advanced microelectronics / edited by Mikhail Baklanov,... Martin Green,... and Karen Maex,... / Chichester : J. Wiley & Sons , cop. 2007
Smart systems integration for Micro- and nanotechnologies : honorary volume on the occassion of Thomas Gessner's 60th birthday / Bernd Michel (Ed.) / Dresden : Goldenbogen , cop. 2014
Dispositifs ultra-sensibles pour le nano-adressage électrique : application à la détection de biomolécules / Laurent Malaquin ; sous la dir. de Christophe Vieu / [S.l.] : [s.n.] , 2004
Gravure profonde du silicium par le procédé cryogénique : application à la réalisation de trous traversants : optimisation du procédé, mécanismes réactionnels en phase gazeuse et interaction plasma/silicium / par Thomas Tillocher ; [sous la direction de] M. Pierre Ranson,... ; co-encadrant, M. Rémi Dussart,... / Lille : Atelier national de reproduction des thèses , 2006
Modélisation de la diffusion des dopants dans le silicium pour la réalisation de jonctions fines / Frédéric Boucard ; sous la direction de Daniel Mathiot / Grenoble : Atelier national de reproduction des thèses , 2003
Development of a CdTe spectro-imaging for space application / David Baudin ; sous la direction de Olivier Limousin / , 2020
Practical MEMS / Ville Kaajakari / Las Vegas, Nevadaa : Small Gear Publishing
Microstrip and printed antennas : new trends, techniques and applications / editors : Debatosh Guha, Yahia M.M. Antar / [Hoboken (N.J.)] : Wiley , 2011
Hybrid microelectronic circuits : the thick film / Richard A. Rikoski,... / New York : Wiley , cop. 1973
Concepts in spin electronics / edited by Sadamichi Maekawa / Oxford : Oxford University Press , 2006
Test et conception de circuits intégrés digitaux : du niveau comportemental au niveau transferts de registres / Ricardo Pires ; sous la direction de Bruno Rouzeyre / [S.l.] : [s.n.] , [1998]
Étude d'un système planaire de gravure de couches minces par plasma réactif pour la fabrication de microcircuits / par Philippe Laporte / , 1980
Germanosiliciuration à base de Ni et d'alliage Ni1-xPtx pour le p-MOS 14 nm FDSOI / Emilie Bourjot ; sous la direction de Dominique Mangelinck / , 2015
Procédé de dépôt de couche barrière-d'adhésion et de cuivre dans des structures 3D pour application microélectronique / Kilian Piettre ; directeur de thèse, Bruno Chaudret et Pierre Fau / Toulouse : Université Paul Sabatier, Toulouse 3 , 2017
Nanotechnologies : la révolution de demain / Mark Ratner, Daniel Ratner ; [traduit de l'américain par Nathalie Audard et Erick Seinandre] / Paris : CampusPress , DL 2003
Développement de la technique de sérigraphie pour la formation de billes de connexions inférieures a 100µm pour l'assemblage 3D : optimisation et étude de fiabilité / Norchene Jemai ; sous la direction de Jean-Yves Fourniols / Toulouse : INSA , 2010
Réfrigérateur thermoacoustique "compact" [Ressource électronique] : modélisation, conception, évaluation / Bertrand Lihoreau ; sous la direction de Michel Bruneau et de Pierrick Lotton / [S.l.] : [s.n.] , 2002
Analyse statique temporelle des performances en présence de variations de tension d'alimentation et de température / Benoit Lasbouygues ; sous la direction de Nadine Azémard / Grenoble : Atelier national de reproduction des thèses , 2006
Etude du phénomène de relaxation diélectrique dans les capacités Métal-Isolant-Métal / Jean-Philippe Manceau ; sous la direction de Pierre Atten / Lille : Atelier national de reproduction des thèses , 2008
Etude de nanotransistors pour la génération et la détection d'ondes TeraHertz / Jérémy Pousset ; sous la direction de Luca Varani / Montpellier : Université de Montpellier 2 Sciences et Techniques du Languedoc , 2008
Peignes de fréquences optiques par effet Kerr dans les micro et mini résonateurs optiques / Napoléon Gutierrez ; sous la direction de Olivier Llopis et de Arnaud Fernandez / Toulouse : Université Paul Sabatier , 2019
La microélectronique pour l'acheteur industriel / Yves Ousten / [Lieu de publication inconnu] : Chez l'auteur , 2024
Sub-quarter-micron silicon issues in the 200/300 mm Conversion Era : proceedings of the E-MRS IUMRS ICEM 2000 Meeting, Symposium L / ed, by H. Fusstetter, H. Richter and M. Umno / Amsterdam : Elsevier , 2001
IC master 1984 / Garden City : Hearst Business Communications , 1984
The physics of microfabrication / Ivor Brodie and Julius J. Muray / New York : Plenum Press , c1982
The future with microelectronics : forecasting the effects of information technology / Iann Barron, Ray Curnow / London : F. Pinter , 1979
Nanowire field effect transistors : principles and applications / edited by Dae Mann Kim, Yoon-Ha Jeong / New York, NY : Springer
Procédé de dépôt de couche barrière-d'adhésion et de cuivre dans des structures 3D pour application microélectronique / Kilian Piettre ; directeur de thèse, Bruno Chaudret et Pierre Fau / Lille : Atelier national de reproduction des thèses , 2012
Future trends in microelectronics : Frontiers and innovations / edited by Serge Luryi, Jimmy Xu, Alex Zaslavsky / Hoboken (N.J.) : IEEE Press , cop. 2013
Largeur spectrale du laser semiconducteur dans l'approximation d'une couche mince active / par Mohamed Bakry El Mashade / [Montpellier] : Atelier duplication U.S.T.L , [1987]
Chambres à vapeur ultra-minces en silicium embarquées pour l'atténuation de points chauds sur les dispositifs de la microélectronique / Quentin Struss ; sous la direction de Luc Fréchette et de Abdelkader Souifi / , 2020
Design of wireless autonomous datalogger IC's / by Wim Claes,... Willy Sansen,... and Robert Puers,... / Dordrecht : Springer , cop. 2005
Transistor MOSFET à nanofils empilés en 3D avec possibilité de fonctionnement indépendant des grilles (ΦFET) : fabrication et propriétés de transport / Cécilia Dupré ; sous la direction de Gérard Ghibaudo et Thomas Ernst / , 2008
Etude et modélisation de la signature fréquentielle V(f) en microscopie acoustique : application à la caractérisation de l'adhérence / par Lahouari Ferdj-Allah / [S.l.] : [s.n.] , [1993]
Crisis and innovation in Asian technology / edited by William W. Keller, Richard J. Samuels / Cambridge (U. K.) : Cambridge University Press , 2003
Physical and technical problems of SOI structures and devices / edited by J.P. Colinge, V.S. Lysenko, and A.N. Nazarov / Dordrecht : Kluwer Academic Publishers , cop. 1995
Elaboration et caractérisation de structures Silicium-sur-Isolant réalisées par la technologie Smart Cut™ avec une couche fragile enterrée en silicium poreux / Anne-Sophie Stragier ; sous la direction de Mustapha Lemiti / , 2011
Microelectronics : processing and device design / Roy A. Colclaser,... / New York : J. Wiley & sons , cop. 1980
Les composés intermétalliques du système Ag-Sn et l'électronique de puissance / Roland Mahayri ; sous la direction de Frédéric Schoenstein et de Noureddine Jouini / , 2021
Proceedings European Hybrid Microelectronics Conference 1979, Ghent, Belgium, May 21, 22 & 23 / European Hybrid Microelectronics Conference / Pijnacker : Dutch Efficiency Bureau , 1979
System design of a low-power three-axis underdamped MEMS accelerometer with simultaneous electrostatic damping control / Lavinia-Elena Ciotirca ; sous la direction de Hélène Tap / , 2017
Microelectronics : theory, design, and fabrication / editor, Edward Keonjian,... ; foreword by Jack A. Morton,... / New York : McGraw-Hill , cop. 1963
Nanolithographie catalytique par microscopie à force atomique : étude des paramètres physico-chimiques / Vincent Mesquita ; sous la direction de Sylvain Clair / , 2016
Etude et développement d'un noeud piézoélectrique intégré dans un micro-système reconfigurable : applications à la surveillance "de santé" de structures aéronautiques / Hamza Boukabache ; sous la direction de Jean-Yves Fourniols et de Christophe Escriba / Toulouse : INSA , 2013
Approche holistique du contrôle du focus en photolithographie 193nm immersion pour les niveaux critiques en 28nm et 14nm FD-SOI / Jean-Gabriel Simiz ; sous la direction de Yves Jourlin / , 2016
Les micromachines / Patrice Minotti, Antoine Ferreira / Paris : Hermès , 1998
Etude de nouvelles voies de passivation non polymérisante pour la gravure profonde du silicium / Corinne Duluard ; sous la direction de Pierre Ranson / , 2009
Détermination simultanée de la mise au point et de la dose d'un équipement de micro-lithographie optique / Nicolas Spaziani ; sous la direction de René-Louis Inglebert / , 2012
Advanced interconnects and chemical mechanical planarization for micro- and nanoelectronics : symposium held April 5-9, 2010, San Francisco, California / editors Johann W. Bartha,... Hyungjun Kim,... Christopher L. Borst,... [et al.] / Warrendale (Pa.) : Materials Research Society , cop. 2010
Développement de dispositifs à base de composants 1D pour applications basse consommation et intelligence ambiante / Julien Thielleux ; sous la direction de Henri Happy / [S.l.] : [s.n.] , 2012
Étude de mémoires flash intégrant des diélectriques high-k en tant qu'oxyde tunnel ou couche de stockage / Julien Buckley ; sous la direction de Georges Pananakakis et Barbara De Salvo / , 2006
Applications des technologies mémoires MRAM appliquées aux processeurs embarqués / Luís Vitório Cargnini ; sous la direction de Lionel Torres et de Gilles Sassatelli / , 2013
Etude et développement de microtechnologies sur substrat papier : application à la structuration d'AL2O3 poreux pour la faisabilité d'un capteur d'humidité / Mamadou Saliou Baldé ; sous la direction de Philippe Combette / , 2013
Mise au point de technologies adaptées à la réalisation de circuits intégrés monolithiques III-V : application à un circulateur actif en bande X / parJean-Luc Oszustowicz ; sous la dir. de J. Vindevoghel / [S.l.] : [s.n.] , 1995
Toxicologie humaine des nouveaux composants de la microélectronique / Nathaniel Magnan ; sous la dir. d'Alain Botta / [S. l.] : [s. n.] , 2003
Current at the nanoscale : an introduction to nanoelectronics / Colm Durkan / Second edition / Hackensack, NJ : World Scientific Publishing , cop. 2014
Conception, fabrication et caractérisation de cellules photovoltaïques multijonctions à contacts en vias traversants / Mathieu De lafontaine ; sous la direction de Erwine Pargon et de Maxime Darnon / , 2021
Etude de la gravure du carbure de silicium : application à la réalisation de composants de puissance = = Study of silicon carbide etching : Use to power devices realization / par Fréderic Lanois ; sous la direction de Jean-Pierre Chante / [S.l.] : [S.n.] , 1997
Introduction to microsystem technology : a guide for students / Gerald Gerlach, Wolfram Dötzel ; translated by Dörte Müller / Chichester, England : J. Wiley & Sons
Materials science in microelectronics volume I, : the relationships between thin film processing and structure / E. S. Machlin,... / 2nd ed. / Amsterdam : Elsevier , cop. 2005
Capteurs intégrés pour la fiabilisation des technologies d'encapsulation en microélectronique / Aurore Quelennec ; sous la direction de Hélène Fremont et de Dominique Drouin / , 2018
VLSI design techniques for analog and digital circuits / Randall L. Geiger,... Phillip E. Allen,... Noel R. Strader,... / New York : McGraw-Hill , cop. 1990
Contribution à l'étude des phénomènes induits par les rayonnements ionisants dans les structures à effet de champ au silicium ou à l'arseniure de gallium utilisées en microélectronique / Jean-Luc Leray ; [sous la direction de] René Castagne / Grenoble : Atelier national de reproduction des thèses , 1989
On-chip instrumentation : design and debug for systems on chip / Neal Stollon / New York : Springer US
Contribution à l'étude mécanique et électrique du contact localisé : adaptation de la nanoidendentation à la micro-insertion / Mamadou Diobet Diop ; sous la direction de Roland Fortunier / , 2009
Etude de caractérisation de matériaux diélectriques de grille à forte permittivité pour les technologies CMOS ultimes = = Characterisation of high permittivity gate dielectric materials for ultimate CMOS technology : / par Youjean Chang ; sous la direction de Frédérique Ducroquet / Grenoble : Atelier national de reproduction des thèses , 2003
Investigation of aging and cracking mechanisms in advanced ceramic materials for microelectronics / Sarah Rubeck ; sous la direction de Jérôme Chevalier et de Philippe Steyer / , 2022
Synthèse et réalisations avec des zéros de transmission de filtres planaires miniaturisés à topologies fractales en substrat suspendu / présentée par Elias Hanna,... ; sous la direction de Pierre Jarry / , 2006
Intégration monolithique de composants bipolaires et de circuits radiofréquences sur substrats mixtes silicium/silicium poreux / Marie Capelle ; sous la direction de Gaël Gautier et de Jérôme Billoué et de Patrick Poveda / , 2013
Modélisation de la diffusion des dopants dans le silicium pour la réalisation de jonctions fines / Frédéric Boucard ; sous la dir. de Daniel Mathiot / [S.l.] : [s.n.] , 2003
Design, Modeling and Testing of Data Converters / edited by Paolo Carbone, Sayfe Kiaei, Fang Xu. / Berlin : Springer , 2014
Microcircuit engineering 82 / Colloque international sur la microlithographie... [5-8 octobre] 1982, Grenoble... / [Paris] : [Groupement des industries électroniques] , [1983]
Conception d'une mémoire SRAM en tension sous le seuil pour des applications biomédicales et les nœuds de capteurs sans fils en technologies CMOS avancées / Anis Feki ; sous la direction de Bruno Allard / , 2016
Etude de résines microlithographiques sensibles à l'UV lointain et résistantes au plasma O2 / par Annie Rochette Nouveau / Grenoble : Atelier national de reproduction des thèses , 1989
Calorimétrie semi-digitale auprès d'un collisionneur linéaire : développement d'une électronique d'acquisition, de compression et de transfert des données / par Clément Jauffret ; sous la direction de François Moreau / Lille : Atelier national de reproduction des thèses , 2008
Passivation de la surface de l'InP par des éléments de la colonne V pour structures MIS / par Jacques Chave ; [sous la direction de Robert Blanchet] / Grenoble : Atelier national de reproduction des thèses , 1987
Etude des transistors à effet de champ organiques : réalisation d'OFETs ambipolaires et étude des mécanismes d'injection dans les OFETs verticaux / Marjorie Morvan ; sous la direction de Georges Zissis / , 2020
Silicon processing for the VLSI era volume 4, = = Deep-submicron process technology : / Stanley Wolf,... / Sunset Beach, Calif. : Lattice Press , cop. 2002
Papers / from the eight international vacuum microelectronics conference (IVMC'95) held in Portland, Oregon from july 31 through aug. 3 / from the 15th North American conference on molecular beam epitaxy, 17-20 september 1995, College Park, Maryland ; eds. William A. Mackie, Anthony E. Bell ; (suivi de) papers ; ed. Roderic Beresford / New York : American institute of physics , 1996
Zbornik referata XII. jugoslavenskog savetovanja o mikroelektronici, Niš maj 1984 = = Zbornik referatov XII. jugoslovanskega posvetovanja o mikroelektroniki : = = Proceedings of 12th Yugoslav conference on microelectronic : / SSESD-ETAN Ljubljana, Elektronski fakultet Niš, EI-Niš-Fabrika poluprovodnika-Niš [et al.] / [Lieu de publication inconnu] : [Editeur inconnu] , [1984 ?]
Micro-and nanoelectronics : emerging device challenges and solutions / edited by Tomasz Brozek ; Krzysztof Iniewski, managing editor / Boca Raton, FL : CRC Press , cop. 2015
Technologie en microélectronique à câblage imprimé / Bertrand Dreyfus-Alain,... / Londres : Editions Tec & Doc , DL 2005
An introduction to nanoscience and nanotechnology / Alain Nouailhat / London : ISTE , cop. 2008
Microengineering in biotechnology / edited by Michael P. Hughes and Kai F. Hoettges,... / New York, NY : Humana Press , cop. 2010
Développement de la technique de sérigraphie pour la formation de billes de connexions inférieures a 100µm pour l'assemblage 3D : optimisation et étude de fiabilité / Norchene Jemai ; sous la direction de Jean-Yves Fourniols et de Josiane Tasselli / , 2010
Analyse de procédés de traitement plasma des résines photosensibles à 193 nm pour le développement de technologies CMOS sub-65 nm / Arnaud Bazin ; sous la direction d'Olivier Joubert et Erwine Pargon / Lille : Atelier national de reproduction des thèses , 2009
Les 50 principaux circuits intégrés / Robert Knoerr / Paris : Editions techniques et scientifiques françaises , 1990
Synthèse de nanotubes de carbone pour l'obtention de vias d'interconnexions électriques et de drains thermiques / Hermane Mbitsi ; sous la direction de Chantal Boulmer-Leborgne et de Nadjib Semmar / , 2010
Prototypage des systèmes sur silicium : application à la reconnaissance de formes en imagerie numérique / L. Prunet / Montpellier : CNAM , 2001
Etude et réalisation d'un oscillateurs à ondes acoustiques de surface / par Joe͏̈l Pucci / Paris-Ouest : CNAM , 1987
Modélisation et intégration de couches minces magnétiques à haute perméabilité dans des dispositifs hyperfréquences / Damien Rialet ; [sous la dir. de] Ala Sharaiha, Anne-Claude Tarot / [S.l.] : [s.n.] , 2010
Evaluation de Réticules Avancés : Propriétés optiques des réticules et prise en compte de leur processus de fabrication dans l'amélioration des modèles OPC pour étendre les fenêtres de procédés en lithographie optique par immersion, pour les noeuds technologiques 28nm et 14nm / Nacer Zine el abidine ; sous la direction de Isabelle Schanen Duport / , 2017
Réseau de PLLs distribuées pour synthèse automatique d'horloge de MPSOCs synchrones / Anton Korniienko ; sous la direction de Gérard Scorletti, d'Eric Blanco et d'Eric Colinet / [S.l.] : [s.n.] , 2011
Enjeux de siliciuration pour des technologies avancées de la microélectronique : étude de l'interaction entre les siliciures de NiPt et le phosphore / Mathilde Lemang ; sous la direction de Dominique Mangelinck / , 2018
La micro-électronique et l'informatique et leurs implications en matière de formation dans les entreprises / A.W.C. Van Kemenade, N. Bjørn Andersen, M. Træsborg, [et al.] / Bruxelles : Office des publications officielles des Communautés européennes , 1984
Fluctuations et courant hors-équilibre en nanophysique / Rodolphe Guyon ; sous la direction de Thierry Martin / Grenoble : Atelier national de reproduction des thèses , 2004
Silicon landscapes / edited by Peter Hall, Ann Markusen / Boston, Mass. : Allen and Unwin
Nanotechnology for microelectronics and optoelectronics / J.M. Martínez-Duart, R.J. Martín-Palma, F. Agulló-Rueda / 1è éd. / Amsterdam : Elsevier , cop. 2006
Contraintes mécaniques en micro, nano et optoélectronique / sous la direction de Mireille Mouis / Paris : Hermes science publications
Études causales par graphes cartésiens / Pierre Vergez,... / Londres : Ed. Tec & Doc , DL 2004
Silicum pour applications optoélectroniques / Hicham Toufik ; sous la direction de Pierre Mialhe / [S.l.] : [s.n.] , 2009
Un ensemble potentiostatique pilote par micro-ordinateur (ELEKTRO KEMAT). Application à la résolution de problèmes chimiques grâce à l'emploi d'ultramicroélectrodes / par Jean Bernard Tommasino ; sous la direction de Patrick Cassoux / Grenoble : Atelier national de reproduction des thèses , 1992
Caractérisation électrique de l'endommagement par électromigration des interconnexions en cuivre pour les technologies avancées de la microélectronique / Lise Doyen / Villeurbanne : [CCSD] , 2010
Proposition d'extension à SystemC-AMS pour la modélisation, la conception et la vérification de systèmes mixtes analogiques-numériques / Yao Li ; sous la direction de Ramy Iskander et de Marie-Minerve Louërat / , 2015
Composants en micro-nanoélectronique : modélisation des processus de diffusion et du fonctionnement / Christian Gontrand / Londres : ISTE éditions , 2018
Microelectronics / Jacob Millman,... and Arvin Grabel,... / 2nd édition / New York : McGraw-Hill
Etude et fabrication de transistors bipolaires à hétérojonctions Si/SiGe (TBH) intégrés dans une filière BiCMOS industrielle 0.5µ = = Fabrication and investigation of Si/SiGe Hetero junction Bipolar Transistors (HBTs) integrated in a 0.5 micron industrial BiCMOS technology : / par Elisabeth de Berranger-Marinet ; sous la direction de Mireille Mouis / [S.l.] : [s.n.] , 1998
Materials science of microelectromechanical systems, MEMS, devices : symposium held december 1-2, 1998, Massachussetts, U.S.A. / editors, Arthur H. Heuer,... S. Joshua Jacobs,... / Warrendale, Pa. : Materials Research Society , cop. 1999
Traitements plasmas post gravure pour l'intégration des matériaux SiOCH poreux dans les interconnexions en microélectronique / Régis Bouyssou / Villeurbanne : [CCSD] , 2012
Conception et fabrication de sélecteurs non-linéaires à base d'effet tunnel / Maxime Plourde ; sous la direction de Abdelkader Souifi et de Damien Deleruyelle et de Dominique Drouin / Villeurbanne : SCD Doc’INSA , 2022
Caractérisations électriques des structures MOS à nanocristaux de Ge pour des applications mémoires non volatiles [Ressource électronique] = = Electrical characterization of Ge nanocrystals embeddes MOS structures for non volatile memory applications : / par Mehdi Kanoun ; sous la direction de Abdelkader Souifi / Villeurbanne : Doc'INSA , 2005
Architectures avancées des transistors FinFETS : réalisation, caractérisation et modélisation / Romain Ritzenthaler ; co-encadrant Olivier Faynot / Villeurbanne : [CCSD] , 2016
Contribution à la conception d'un circuit analogique programmable en technologie CMOS : conception et caractérisation d'une cellule de calcul analogique = = Contribution to the design of a programmable analogue circuit in CMOS technology : design and characterisation of an analogue computation cell / par Alain Aubert ; sous la direction de Jean-Pierre Chante / [S.l.] : [s.n.] , 2001
Spintronique avec des matériaux 2D ˸ Manipulation des Interfaces / Victor Zatko ; sous la direction de Pierre Seneor / , 2022
Ambient intelligence : first European symposium, EUSAI 2003, Veldhoven, The Netherlands, November 3-4, 2003 : proceedings / [edited by] Emile Aarts, René Collier, Evert van Loenen... [et al.] / Berlin [etc.] : Springer
Semi-custom ICs : Proceedings of the First International Conference, London, November 1981 / Edited by Milos Krejcik / Luton, England : Mackintosh Publications Ltd., Published in co-operation with Prodex Ltd. , cop. 1982
Etude et mise en place d'outils de caractérisation électrique basés sur la technique AFM, en vue de la localisation de défauts au niveau des zones de dopage / Thomas Delaroque ; [sous la direction de] Hugues Murray / Lille : Atelier national de reproduction des thèses , 2010
Les micro-sections et le retrait sélectif par faisceau d'ions focalisés / Laurence Garcia / , 2001
Actes du séminaire - 24 au 28 mai 1993 = = Conference proceedings - May 24 - 28, 1993 : / [séminaire international organisé à l'occasion du 25e anniversaire par] LAAS-CNRS / Toulouse : Cépaduès-Editions , DL 1993
Etude et faisabilité d'une microcaméra laser 3D / par Christophe Bricout ; sous la direction de Maurice Briot / [Lieu de publication inconnu] : [Éditeur inconnu] , [1996]
Integrated circuit engineering : design, fabrication and applications / Arthur B. Glaser,... Gerald E. Subak-Sharpe,... / Reading (Mass.) : Addison-Wesley , cop. 1977
1997 21st International conference on microelectronics : proceedings : 14-17 September 1997, Niš, Yugoslavia / organized by Yugoslavia IEEE section - Electron devices chapter under technical co-sponsorship of the IEEE Electron devices society / Piscataway, N.J. : Institute of electrical and electronics engineers
Proceedings of the 1979 International Microelectronics Symposium, November 13-15, 1979, Bonaventure Hotel, Los Angeles, CA / sponsored by the International Society for Hybrid Microelectronics ; [edited and assembled by the 1979 Technical Program Committee and the society staff] / Montgomery, Ala. : ISHM , cop. 1979
Le Projet comm'une mémoire [Multimédia multisupport] / Mariano Bona / [S.l.] : [S.n.] , 2009?
Proceedings of the symposium on optical and acoustical micro-electronics : New York, N.Y., April 16-18, 1974 / Jerome Fox, editor ; [associate editor Mark Howard Schlam] ; sponsored by the Polytechnic Institute of Brooklyn, Microwave Research Institute, in cooperation with the Institute of Electrical and Electronics Engineers, the Optical Society of America ; co-sponsored by the Air Force Office of Scientific Research, the Office of Naval Research, the U.S. Army Research Office / New York : Polytechnic Press , cop. 1975
Chemical vapor deposition : thermal and plasma deposition of electronic materials / S. Sivaram / New York : Van Nostrand Reinhold , c1995
Modélisation des effets de déplacements atomiques induits par irradiation dans les matériaux pour la microélectronique / Thomas Jarrin ; sous la direction de Anne Hemeryck et de Nicolas Richard / Toulouse : Université Toulouse 3 , 2021
Switching power supply design / Abraham I. Pressman / third edition / New York : McGraw-Hill , cop. 2009
Analyse ultime par Spectrométrie de Masses des Ions Secondaires des matériaux de la microélectronique avancée : contribution à l'interprétation des profils de bore dans le silicium = = Ultimate secondary ion mass spectrometry of advances microelectronics' materials : contribution to the interpretation of boron profiles in silicon / par Nicolas Baboux ; sous la dir. de Jean-Claude Dupuy / [S.l.] : [s.n.] , 2001
Additional problems with solutions : a supplement to Microelectronic Circuits / K.C. Smith,... / 3rd edition / Fort Worth : Sauders College Publishing , cop. 1992
Technologie FeRAM : fiabilité et mécanismes de défaillance de condensateurs ferroélectriques et intégrés / Nicolas Menou ; sous la direction de Christophe Muller / Grenoble : Atelier national de reproduction des thèses , 2004
ICMTS 1989 : proceedings of the 1989 International Conference on Microelectronic Test Structures, Edinburgh, Scotland, 13-14th March 1989 / New York : Institute of Electrical and Electronics Engineers , cop. 1989
Étude et conception d'opérateurs analogiques en CMOS, pour des applications basses fréquences, faibles tensions et faibles consommations / Aimad El Mourabit ; sous la direction de Guo-Neng Lu / [S.l.] : [s.n.] , 2005
Instructor's manual with transparency masters : for Microelectronic circuits / Adel S. Sedra,... Kenneth C. Smith,... / 4th ed. / New York : Oxford University Press , 1998
Physics of nanostructures : proceedings of the Thirty-Eighth Scottish Universities Summer School in Physics, St Andrews, July-August, 1991 / edited by J.H. Davies, A.R. Long / Edinburgh : SUSSP Publications , cop. 1992
Dispositifs ultra-sensibles pour le nano-adressage électrique : application à la détection de biomolécules / Laurent Malaquin / Villeurbanne : [CCSD] , 2005
Amélioration et suivi de la robustesse et de la qualité de MOSFETs de puissance dédiés à des applications automobiles micro-hybrides / Emilie Pomès Jansingh ; sous la direction de Jean-Marie Dorkel et de Patrick Tounsi / Toulouse : INSA , 2012
Microelectronics, microsystems and nanotechnology : papers presented at MMN 2000, Athens, Greece, 20-22 november 2000 / editors Androula G. Nassiopoulou, Xanthi Zianni / New Jersey : World Scientific
Fluctuations basse fréquence et variabilité dans les composants CMOS 32nm / Eleftherios Ioannidis ; sous la direction de Gérard Ghibaudo et de Jalal Jomaah / , 2013
Microprocessors : design & applications / Andrew Veronis / Reston : Reston publishing company, inc. , cop. 1978
Résines photosensibles pour microlithographie développables par plasma oxygène : (étude et mise au point) / par Olivier Maurin ; sous la direction de François Schué / Grenoble : Atelier national de reproduction des thèses , 1986
The silicon civilisation / by Alan Burkitt and Elaine Williams / London : W. H. Allen
Robots, ordinateurs et micro-électronique / [Texte français de Corinne Niclot] / Paris : Hachette Jeunesse , DL 1985
Intégration sur silicium des capteurs et des fonctions de traitement de signal généré par des rayonnements nucléaires : application à la mesure du radon / Ragheb Hijazi ; sous la direction de Bruno Barelaud / Lille : Atelier national de reproduction des thèses , 2012
Développement des méthodes génériques d'analyses multi-variées pour la surveillance de la qualité du produit / Mariam Melhem ; sous la direction de Mustapha Ouladsine et de Bouchra Ananou / , 2017
Contribution à l'étude de la conversion analogique-numérique sigma-delta intégrée dans une chaîne de réception radiofréquence pour les applications cellulaires / par Yann Le Guillou ; [sous la direction de] Patrice Gamand / Grenoble : Atelier national de reproduction des thèses , 2005
Microelectronics processing : inorganic materials characterization / Lawrence A. Casper, ed. ; developed from a symposium sponsered by the Division of Industrial and Engineering Chemistry of the American Chemical Society / Washington : American Chemical Society , 1986
Microstrip and printed antennas : New trends, techniques and applications / Debatosh Guha,... Yahia M.M. Antar,... / Chichester, UK : John Wiley and Sons , cop. 2011
Chemical mechanical planarization in IC device manufacturing III : proceedings of the International Symposium / editors, R.L. Opila... / Pennington (N.J.) : Electrochemical Society
Lithography in microelectronics / edited by T.M. Makhviladze ; translated by Al Peabody / Commack, NY : Nova Science Publishers , 1989
Optimisation des paramètres de projection des céramiques par plasma d'arc, étude des propriétés physiques et thermiques des couches projetées : exemple d'application : substrats pour la microélectronique hybride / par Lech Pawlowski ; sous la direction de Pierre Fauchais / [Lieu de publication inconnu] : [Éditeur inconnu] , 1985
Contributions à l'étude d'antennes miniatures accordables sur une large bande pour terminaux mobiles en bande UHF / Mohamed Abdallah ; [sous la dir. de] Mohamed Himdi / [S.l.] : [s.n.] , 2009
Passivation de la surface de l'InP par des éléments de la colonne V pour structures MIS / par Jacques Chave ; [sous la direction de Robert Blanchet] / [S.l.] : [s.n.] , 1987
Nanotechnology and nanoelectronics : materials, devices, measurement techniques : with 218 figures / W. R. Fahrner / Berlin : Springer , cop. 2005
Solution générique pour l'adressage matriciel de micro-actionneurs thermiques et optimisation de micro-sources thermiques / Maxime Dumonteuil / Villeurbanne : [CCSD] , 2007
L'Impact de la micro-électronique / Commissariat général du plan ; rédigé par Pierre Bonelli et Alain Fillion / Paris : la Documentation française , [1981 ?]
Techniques de BIST pour le test en ligne / Olivier Potin ; sous la direction de Christian Landrault / [S.l.] : [s.n.] , [1999]
Fabrication engineering at the micro and nanoscale / Stephen A. Campbell / 3ème édition / New York : Oxford University Press , 2008
[Comptes rendus] / Rencontres de Grenoble, du 2 au 6 décembre 1982 ; [organisées par la Mairie de Grenoble et la Chambre de commerce et de l'industrie de Grenoble] / Echirolles : A.G.E.Z , [1982]
Caractérisation de couches minces par ondes de surface générées et détectées par sources lasers / Sabrina Fourez ; sous la direction de Mohamed Ourak et de Frédéric Jenot / , 2013
Conception d'un système intégré ultra basse tension pour l'électroencéphalographie / Alfredo Farid Bautista Delgado ; sous la direction de Sergio Omar Martinez Chapa et Olivier Rossetto / Lille : Atelier national de reproduction des thèses , 2009
Les nanosciences 3, Nanobiotechnologies et nanobiologie / sous la direction de Marcel Lahmani, Patrick Boisseau, Philippe Houdy ; [préface d'Axel Kahn] / Paris : Belin , DL 2007
Calorimétrie semi-digitale auprès d'un collisionneur linéaire : développement d'une électronique d'acquisition, de compression et de transfert des données / par Clément Jauffret ; sous la direction de François Moreau / [S.l.] : [s.n.] , 2008
Characterization and modeling of advanced charge trapping non volatile memories. / Vincenzo Della Marca ; sous la direction de Frédéric Lalande / [S.l.] : [s.n.] , 2013
Croissance de diélectrique à forte permittivité par la technique MOCVD en phase liquide pulsée : élaboration, et caractérisation de films de HfO2 / Karen Dabertrand ; sous la direction de Gilbert Vincent, Vincent Cosnier et Billon Thierry / , 2006
Wireless Approach for SIP and SOC Testing / Ziad Noun ; sous la direction de Marie-Lyse Flottes et de David Andreu / , 2010
Application de la transformation conforme au calcul de lignes microélectronique hyperfréqunce / par Gratia Khoo J.G / [Lieu de publication inconnu] : [Éditeur inconnu] , 1975
Work, unemployment and the new technology / Colin Gill / Cambridge : Polity Press , 1985.-
Microelectronics / [Infotech Limited] / Maidenhead : Infotech International , 1980
Micro et nano-antennes adaptées à la microscopie champ proche et à l'imagerie haute résolution / par Audrey Fahys ; sous la direction de Daniel Courjon / Lille : Atelier national de reproduction des thèses , 2007
La microélectronique : bilan et perspectives d'une technologie de base / Siemens / Berlin : Siemens , 1984
Nanotechnology : a gentle introduction to the next big idea / Mark Ratner, Daniel Ratner / Upper Saddle River (N.J.) : Prentice-Hall , cop. 2003
MEMS & microsystems : design and manufacture / Tai-Ran Hsu,... / Boston : Mc Graw Hill , 2002
R & D collaboration on trial : the Microelectronics and Computer Technology Corporation / David V. Gibson and Everett M. Rogers / Boston, Mass. : Harvard Business School Press , c1994
Integrated micro-motion systems : micromachining, control, and applications : a collection of contributions based on lectures presented at the Third Toyota Conference, Aichi, Japan, 22-25 October 1989 / edited by Fumio Harashima / Amsterdam : Elsevier , cop. 1990
Microtransducer CAD : physical and computational aspects / Arokia Nathan, Henry Baltes / Wien : Springer , cop. 1999
Etude et développement de méthodes préventives et curatives contre les escarres : détection par réseau de capteur sur textile et traitement par patch d'électrostimulation et iontophorèse / Maxime-Jean Chaudon ; sous la direction de Thierry Djenizian / , 2021
Caractérisation hyperfréquence et in-situ de diélectriques à permittivité élevée en vue de leur intégration dans des composants passifs en microélectronique avancée / Thu Trang Vo ; sous la direction de Bernard Flechet / Lille : Atelier national de reproduction des thèses , 2009
Proceedings of 17th Nordic Semiconductor Meeting : Trondheim, Norway, June 17-20, 1996 / editors, K.-A Chao ... [et al.] / Stockholm, Sweden : Royal Swedish Academy of Sciences , 1997
Etude et validation de boucles d’asservissement permettant le contrôle avancé des procédés en microélectronique : application à l’étape d’isolation par tranchées peu profondes en technologie CMOS / Djaffar Belharet ; sous la direction de Philippe Collot / , 2009
Ecrans plats & électronique flexible : parcours electrical engineering promotion 2012, PHY573B / Yvan Bonnassieux, Bernard Geffroy / Palaiseau : École polytechnique , 2014-2015
Les nanosciences 1, Nanotechnologies et nanophysique / sous la direction de Marcel Lahmani, Claire Dupas, Philippe Houdy / Paris : Belin , 2015
Thin-film and semiconductor integrated circuitry / John M. Doyle,... / New York : McGraw-Hill , cop. 1966
Chemical mechanical planarization of microelectronic materials [Ressource électronique] / Joseph M. Steigerwald, Shyam P. Murarka, Ronald J. Gutmann / Weinheim (Allemagne) : Wiley-VCH , [2011?]
Microelectronics technology and devices SBMICRO 2002 : proceedings of the seventeenth international symposium / editors, Nilton I. Morimoto, Renato P. Ribas, Patrick Verdonck...[et al.] / Pennington, NJ : Electrochemical Society, Inc. , 2002
Transistor Quantique InAs à Electrons Chauds : Fabrication submicronique et étude à haute fréquence / Hoang Nguyen Van ; sous la direction de Roland Teissier et de Mohammed Zaknoune / , 2012
Analyses électromagnétiques des supports de propagation pour la microélectronique : application à l'étude des circuits intégrés microondes, des circuits numériques à très haute densité d'intégration et à la spectroscopie diélectrique de matériaux cristaux liquides / par Fabrice Huret / [S.l.] : [s.n.] , 1999
Développement d'un procédé innovant pour le remplissage des tranchées d'isolation entre transistors des technologies CMOS avancées / Aurélien Tavernier ; sous la direction de Thierry Chevolleau / , 2014
Etude thermodynamique et élaboration de dépôts métalliques (W-N-C, Ti-N-C) par PEALD (Plasma Enhanced Atomic Layer Deposition) pour la réalisation d'électrodes de capacités métal / isolant / métal dans les circuits intégrés / Rym Benaboud ; sous la direction de Elisabeth Blanquet et Christophe Wyon / Lille : Atelier national de reproduction des thèses , 2009
Science et défense 91 1, Mécanique des fluides, micro-électronique : nouvelles avancées scientifiques et techniques / Ministère de la défense, Délégation générale pour l'armement / Paris : Dunod , 1991
Fundamentals of microelectronics / Behzad Razavi / Preview ed. / Hoboken, NJ : Wiley , cop. 2006
Mécanismes de retrait des contaminations particulaire et métallique sur les substrats de la microélectronique : Optimisation des procédés de nettoyage après polissage mécano-chimique / Isabelle Constant ; sous la dir. de Jacques Derrien / [S.l.] : [s.n.] , 2000
Utilisation du FIB pour la nanostructuration et l'auto-assemblage de réseaux de nano-objets pour des applications microélectroniques / Guillaume Amiard ; sous la direction de Nicolas Cavassilas et de Isabelle Berbezier / [S.l.] : [s.n.] , 2012
Etude, fabrication et propriétés de transport de transistors CMOS associant un diélectrique haute permittivité et un canal de conduction haute mobilité [Ressource électronique] = = Study, fabrication and transport properties of high mobility channel CMOS transistors with high-k gate dielectrics : / par Olivier Weber ; sous la direction de F. Ducroquet / Villeurbanne : Doc'INSA , 2006
Conception et caractérisation de filtres et systèmes antennaires reconfigurables chargés par des résonateurs Métamateriaux sub-longueurs d'onde / Hicham Lalj ; sous la direction de M'Hamed Drissi / , 2014
Méthodologie d'évaluation par simulation de la sécurité des circuits face aux attaques par faute / Olivier Faurax ; sous la direction de Traian Muntean / , 2008
Materials for information technology : devices, interconnects and packaging / Ehrenfried Zschech, Caroline Whelan and Thomas Mikolajick (eds.) / London : Springer , cop. 2005
Chemical vapor deposition for microelectronics : principles, technology, and applications / by Arthur Sherman / Park Ridge, N.J., U.S.A. : Noyes Publications , cop. 1987
Proceedings of the1982 International Microelectronics Conference : May 24-26, 1982, Keio Plaza Hotel, Tokyo, Japan / sponsored by ISHM Japan Chapter / Tokyo, Japan : ISHM Japan Chapter , cop. 1982
The conquest of the microchip / Hans Queisser ; translated by Diane Crawford-Burkhardt / Cambridge, Mass. : Harvard University Press , cop. 1990
Méthodologie de diagnostic et techniques de test pour les mémoires non volatiles de type EEPROM / par Hassen Aziza ; sous la direction d'Annie Perez / Grenoble : Atelier national de reproduction des thèses , 2004
Electronic packaging and interconnection handbook / Charles A. Harper, ... / 4th ed. / New York : McGraw-Hill , cop. 2005
Intégration monolithique de matériaux III-V et de Ge sur Si en utilisant des buffers oxydes cristallins / Jun Cheng ; sous la direction de Guillaume Saint Girons / [S. l]. : [S. n.] , 2010
Molecular and nano electronics : analysis, design and simulation / edited by J.M. Seminario / Amsterdam : Elsevier , cop. 2007
Gestion adaptive de l'alimentation des objets connectés limités en ressources / Sota Sawaguchi ; sous la direction de Suzanne Lesecq / , 2021
Etude de la gravure du carbure de silicium : application à la réalisation de composants de puissance = = Study of silicon carbide etching : Use to power devices realization / par Fréderic Lanois ; sous la direction de Jean-Pierre Chante / Grenoble : Atelier national de reproduction des thèses , 1997
Future trends in microelectronics : from nanophotonics to sensors and energy / edited by Serge Luryi, Jimmy Xu, Alex Zaslavsky / Hoboken (N.J.) : IEEE Press , cop. 2010
Dépôt chimique de tungstène à partir de la phase gazeuse hexafluorure de tungstène-silane-hydrogène en vue d'application en connectique / Thierry Charrier ; sous la direction de A. Bouteville / , 1994
Micro and thin-film electronics : readings / edited by Sumner N. Levine / New York : Holt, Rinehart and Winston , 1964
Méthodologie de modélisation et analyse de conception Front-End / Du Shi Jie Kon / [Lieu de publication inconnu] : [Éditeur inconnu] , 2004
Technologie FeRAM : fiabilité et mécanismes de défaillance de condensateurs ferroélectriques et intégrés / Nicolas Menou ; sous la direction de Christophe Muller / [S.l.] : [s.n.] , 2004
More than Moore : creating high value micro/nanoelectronics systems / edited by G.Q. Zhang, A.J. van Roosmalen / Dordrecht : Springer , cop. 2009
Étude par microscopie à force atomique en trois dimensions de l’évolution de la rugosité de bord de ligne lors de la fabrication d’une grille de transistor MOS / Jérôme Thiault ; sous la direction de Olivier Joubert / , 2007
Contrôle dimensionnel sub-micrométrique utilisant un appareil goniométrique bidimensionnel rapide / Jérôme Petit ; sous la direction de Patrick Schiavone / Grenoble : Atelier national de reproduction des thèses , 2005
Aspects de la microélectronique / Claude Weisbuch / Ed. 1988 / [Palaiseau] : Ecole polytechnique , 1988
Future trends in microelectronics : the nano millennium / edited by Serge Luryi, Jimmy Xu, Alex Zaslavsky / Hoboken, N.J. : John Wiley
1999 IEEE International Reliability Physics symposium proceedings : 37th annual, San Diego, California, March 23-25, 1999 / sponsored by the IEEE Electron Devices Society and the IEEE Reliability Society / Piscataway, N.J. : Institute of Electrical and Electronics Engineers , c1999
EUV sources for lithography / Vivek Bakshi / Bellingham WA : SPIE Press , 2005
Proceedings of the 3rd International Microelectronics Conference : May 21-23, 1984, Keio Plaza Hotel, Tokyo, Japan / sponsored by ISHM Japan Chapter / Tokyo, Japan : ISHM Japan Chapter , cop. 1984
Nouvelles approches d'intégration pour les microsystèmes optiques / Jérôme Valentin ; [sous la direction de] Françoise Lozes-Dupuy / Grenoble : Atelier national de reproduction des thèses , 2004
Couches conductrices par voie organométallique pour les dispositifs 3D en microélectronique / Jérémy Cure ; sous la direction de Bruno Chaudret et de Pierre Fau / , 2015
Microsystem engineering of lab-on-a-chip devices / Oliver Geschke, Henning Klank, Pieter Tellemann / 2ème édition revue et augmentée / Weinheim : Wiley-VCH , cop. 2008
Microelectronic test pattern NBS-3 for evaluating the resistivity-dopant density relationship of silicon / Martin G. Buehler / [Washington] : U.S. Dept. of Commerce, National Bureau of Standards : for sale by the Supt. of Docs., U.S. Govt. Print. Off. , 1976
Conception sur silicium de convertisseurs analogique-numérique haut débit pour le radiotélescope SKA / Bruno Da Silva ; sous la direction de Nicole Cornilleau-Wehrlin / , 2010
Étude et modélisation des mécanismes de dégradation aux températures cryogéniques des propriétés électriques des circuits de lecture de détecteur infrarouge sous rayonnement ionisant / Gaëtan Cussac ; sous la direction de Laurent Artola / , 2022
Caractérisation de matériaux semi-isolants par spectroscopie de transitoire de courant photoinduit : matériaux InP dopés Fe pour la micro-optoélectronique et CdZnTe pour la détection nucléaire = = Characterization of semi-insulating materials by photo-induced current transient spectroscopy : Fe doped InP for micro-optoelectronics and CdZnTe for nuclear detection / par Karim Cherkaoui ; sous la direction de Ghanem Marrakchi / [S.l.] : [s.n.] , 1998
Microelectronic circuits / Adel S. Sedra,... Kenneth C. Smith,... / international 6th edition / New York : Oxford University Press , cop. 2011
Silicium poreux pour capteurs et MEMS résonants intégrés [Ressource électronique] = = Porous silicon for sensors and integrated resonant MEMS : / par Arnaud Porcher ; sous la direction de Boudjemaa Remaki / Villeurbanne : Doc'INSA , 2009
Metamaterials with negative parameters : Theory, design, and microwave applications / Ricardo Marqués, Ferran Martín, Mario Sorolla / Hoboken (New Jersey) : J. Wiley & Sons , cop. 2008
Contribution à la modélisation et aux simulations en compatibilité électromagnétique des câbles et des circuits microélectroniques / par Andriamanantsoa Ratsimandresy ; sous la dir. de Jacques Boucher / [S.l] : [s.n] , 1994
Contrôle des procédés thermiques rapides appliqués à la microélectronique / par Nicolas Nolhier ; sous la direction de Jean-Marie Dilhac / [Lieu de publication inconnu] : [s.n] , [1992]
Etude cinétique de polymères thermostables : application aux matériaux de structure (préimprégnés) et à la microélectronique (photoréactivité) / par Bernard Loisel / [S.l.] : [s.n.] , [1992]
Contribution à l'étude des circuits de technologie silicium sur saphir sous irradiation transitoire / par Jean-Daniel Saussine ; sous la direction de Jean Gasiot / [S.l.] : [s.n.] , [1992]
ICMTS 93 : proceedings of the 1993 International Conference on Microelectronic Test Structures : March 22-25, 1993, Sitges, Barcelona, Spain / sponsored by the IEEE Electron Devices Society / New York : IEEE , cop. 1993
Windows on a new world : the third industrial revolution / edited by Joseph Finkelstein / New York : Greenwood Press , 1989
Composants à semiconducteurs : de la physique du solide aux transistors / Olivier Bonnaud,... / Paris : Ellipses , DL 2006
Vacuum microelectronics 1989 : proceedings of the second International Conference on Vacuum Microelectronics held in Bath, 24-26 July 1989 / ed. by R.E. Turner / Bristol [etc.] : Institute of Physics , cop. 1989
Test et diagnostic de fautes dynamiques dans les mémoires SRAM / Alexandre Ney / Villeurbanne : [CCSD] , 2008
System-on-chip : next generation electronics / edited by Bashir M. Al-Hashimi / London : Institution of Electrical Engineers , cop. 2006
Dépôts par ESD et ALD et caractérisations physico-chimiques de couches d'oxydes à l'échelle nanométrique pour la microélectronique / Amélie Lintanf ; sous la direction d'Elisabeth Djurado et Arnaud Mantoux / Lille : Atelier national de reproduction des thèses , 2008
Élaboration et caractérisation des interconnexions pour les nœuds technologiques CMOS 32 et 22 nm = = Development and characterization of interconnects for the 32 and 22 nm CMOS technology nodes : / par Karim Hamioud ; sous la direction de Daniel Barbier / Lille : Atelier national de reproduction des thèses , 2010
Caractérisation, modélisation et fiabilité des diélectriques de grille à base de HfO2 pour les futures technologies CMOS = = Electrical characterization, modeling and reliability of HfO2 based gate oxide for future CMOS technologies : / par Théodore Nguyen ; sous la direction de Carole Plossu et de Liviu Militaru / Lille : Atelier national de reproduction des thèses , 2009
Microelectronic technology : selected articles from Semiconductor products and solid state technology / Samuel L. Marshall, editor / Cambridge, Mass. : Boston Technical Publishers , 1967
Thick-film microelectronics : fabrication, design, and applications / Morton L. Topfer,... / New York : Van Nostrand Reinhold co. , cop. 1971
Maîtrise de la variabilité des procédés de fabrication par le développement de modèles de régulation / Séverine Marquet ; sous la direction de René-Louis Inglebert / , 2008
6èmes Journées nationales de microélectronique et optoélectronique III-V : JNMO'97 : Chantilly, France, 29-31 janvier 1997 / ed. J.-L Pelouard / Les Ulis : Ed. de physique , 1999
Integrated electronics / K. J. Dean,... / London : Chapman & Hall , cop. 1967
Micromachined transducers sourcebook / by Gregory T.A. Kovacs / , C 1998
Microélectronique 4, Traitement de signaux et saisie de données. Electronique de puissance / Jacob Millman, Arvin Grabel... ; traduction française Léon Collet / Auckland : McGraw-Hill , 1989
Mesure de l’adhérence et des propriétés mécaniques de couches minces par des essais dérivés de la nanoindentation. Application à la microélectronique et au biomédical / par Sébastien Roy ; sous la direction de Evelyne Darque-Ceretti et Eric Felder / , 2008
Microelectronic circuits / Adel S. Sedra,... Kenneth C. Smith,... / International 7th edition / New York : Oxford University Press , cop. 2016
Grosses IWT-Wörterbuch : Elektronik und Mikroelektronik : Englisch-Deutsch, Deutsch-Englisch / D. Jauß, C. Villani / Vaterstetten bei München : IWT , 1989
Analyse de procédés de traitement plasma des résines photosensibles à 193 nm pour le développement de technologies CMOS sub-65 nm / Arnaud Bazin ; sous la direction d'Olivier Joubert et Erwine Pargon / , 2009
La Révolution microélectronique / introd. de Pierre Grivet / [Paris] : Pour la science
Réalisation de jonctions pn dans le SiC-6H par implantation ionique d'aluminium [Ressource électronique] = = Pn junction creation in 6H-SiC by aluminium ion implantation : / Laurent Ottaviani ; sous la dir. de Marie-Laure Locatelli / Villeurbanne : Doc'INSA , 1999
Modeling and design of a miniature high precision linear stage on ball bearings / Zidong Yin ; sous la direction de Marc Bonis / Lille : Atelier national de reproduction des thèses , 2010
Microelectronics : an integrated approach / Roger T. Howe, Charles G. Sodini / International edition. / Upper Saddle River, N.J : Prentice-Hall International , c1997
Introduction to open core protocol : fastpath to system-on-chip design / W.David Schwadered ; contribution de Erno Salminen, Lasse Lethonen, Timo D. Hämäläinen pour le chapitre 12 / Edition 2012 / New York : Springer , 2014
Derniers développements en automatique, informatique, robotique, micro-électronique : le séminaire du LAAS-CNRS [Laboratoire d'automatique et d'analyse des systèmes du Centre national de la recherche scientifique] / [réd. par G. Salut, J. Bernussou, J. Erschler, M. Diaz... et al.] / Toulouse : Cepaduès , DL 1987
Procédés de réalisation de matériaux "germanium sur isolant" par technique de condensation du germanium / Benjamin Vincent ; sous la direction de Alexander Pisch et Jean-François Damlencourt / Lille : Atelier national de reproduction des thèses , 2008
Étude thermodynamique et expérimentale de mélanges d'oxydes à basse température de fluage pour l'électronique / Guy Baret ; sous la direction de Claude Bernard / Grenoble : Atelier national de reproduction des thèses , 1989
A FORTRAN program for calculating the electrical parameters of extrinsic silicon / R. D. Larrabee, W. R. Thuber, and W. M. Bullis ; U.S. Department of commerce, National Bureau of Standards / Washington, D.C : U.S. Dept. of Commerce, National Bureau of Standards , 1980
Silicium poreux pour capteurs et MEMS résonants intégrés = = Porous silicon for sensors and integrated resonant MEMS : / par Arnaud Porcher ; sous la direction de Boudjemaa Remaki / Lille : Atelier national de reproduction des thèses , 2009
Proceedings of the 1980 International Microelectronics Conference : May 28-30, 1980, Keio Plaza Hotel, Tokyo, Japan / sponsored by ISHM Japan Chapter / [Montgomery, Ala.? : International Society for Hybrid Microelectronics? , 1980?]
Formation et caractérisation de jonctions PN dans du SiC-4H par implantation ionique et recuit laser / Christian Dutto ; sous la dir. de Eric Fogarassy et Daniel Mathiot / [S.l.] : [s.n.] , 2003
Synthèse de nanoparticules de cuivre pour la réalisation d'interconnexions en microélectronique [Ressource électronique] / Clément Barrière ; directeurs de thèse, Bruno Chaudret et Pierre Fau / Toulouse : Université Paul Sabatier, Toulouse 3 , 2014
Angle resolved Mueller polarimetry, applications to periodic structures / par Clément Fallet ; sous la direction de Antonello de Martino / [S.l.] : [s.n.] , 2011
Ultra propreté : des microgouttes aux nanoparticules / Adeline Lallart ; sous la direction de Elisabeth Charlaix / , 2019
Cellules photovoltaïques pour la récupération d'énergie et la communication de données / Nominoë Lorrière ; sous la direction de Marcel Pasquinelli et de Jean-Jacques Simon / , 2019
RF Microelectronics / Behzad Razavi / Second edition (Indian Subcontinent Version) / Inde : Dorling Kindersley , 2014
Verification formelle d'un système embarqué pour l'automobile / Cyril Leman / [S. l.] : [s. n.] , 2001
Matériaux magnéto-diélectriques en couches minces à forte perméabilité et à forte permittivité pour les applications microondes / Kevin Garello ; sous la direction de Dominique Cros et Bernard Viala / [S.l.] : [s.n.] , 2009
Qualification d'une mémoire EEPROM 8/16 kilos bit à accès série (bus I2C), procédé de fabrication F6SP36% / José Tavares-Figueiredo / [s.l.] : [s.n.] , 2003
Maitrise de l'électrodépôt de couches nanométriques de Cuivre et Cobalt pour la métallisation des interconnexions en microélectronique / Amine Lakhdari ; sous la direction de Anne-Marie Gonçalves / , 2021
Microelectronic circuits / Adel S. Sedra,... Kenneth C. Smith,.. / 6th ed. / New York : Oxford University Press , 2011
Caractérisation de matériaux semi-isolants par spectroscopie de transitoire de courant photoinduit : matériaux InP dopés Fe pour la micro-optoélectronique et CdZnTe pour la détection nucléaire = = Characterization of semi-insulating materials by photo-induced current transient spectroscopy : Fe doped InP for micro-optoelectronics and CdZnTe for nuclear detection / par Karim Cherkaoui ; sous la direction de Ghanem Marrakchi / Grenoble : Atelier national de reproduction des thèses , 1998
Circuits microélectroniques : promotion 2004, année 3, majeure 1, PHY568 / Yvan Bonnassieux, Gérard Fontaine, Alain Greiner / Palaiseau : École polytechnique , 2006
Analyse du comportement d'interconnexions damascènes en cuivre testées en électromigrations = = Behaviour analysis of copper damascene interconnects submitted to electromigration stresses : / par Thierry Berger ; sous la direction de Gérard Lormand / Grenoble : Atelier national de reproduction des thèses , 2001
Matériaux et microélectronique hybride : Journées d'études mardi 7 et mercredi 8 avril 1992, Paris : textes des communications / Société des électriciens et des électroniciens / Paris : Société des électriciens et des électroniciens , 1992
Conception d'ASICs Mixtes Durcis aux Radiations pour Observatoires Spatiaux / Si Chen ; sous la direction de Damien Prêle / , 2019
Nanotechnology for electronic materials and devices / Anatoli Korkin, Evgeni Gusev, Jan Labanowski,... [et al.], editors / New York : Springer , cop. 2007
La Microélectronique : de l'électron à la fabrication des puces / Commissariat à l'énergie atomique. Direction de la communication et des affaires publiques / Paris : Commissariat à l'énergie atomique , cop. 2006
Microélectronique : circuits intégrés, 3e annéee / M. Noblanc / Paris : ECAM , 1972
Interconnect technology and design for gigascale integration / edited by Jeff Davis, James D. Meindl / Boston : Kluwer Academic Publishers , cop.2003
Le frittage des poudres submicroniques du composé Ag3Sn, une alternative au brasage par fusion : synthèse de la phase en milieu polyol et premiers essais / Pauline Canaud ; sous la direction de Fadila Maroteaux et de Noureddine Jouini / , 2017
Papers / from the 18th north american conference on molecular beam epitaxy, 10-13 october 1999, Banff, Canada / from the international conference on silicon dielectric interfaces, 25-27 february 2000, Raleigh, North-Carolina ; ed. Dan Mars. Papers, Michael Paesler / New York : American Institute of Physics , 2000
Etude du remplissage de tranchées profondes par du silicium polycristallin / par Fabien Pierre ; sous la direction de Olivier Bonnaud / Grenoble : Atelier national de reproduction des thèses , 2002
Power microelectronics : device and process technologies / Yuang C. Liang,... Ganesh S. Samudra,... Chih-Fang Huang,... / 2nd edition / New Jersey : World Scientific
IECON '86 : industrial applications of mini, micro & personal computers : proceedings / 1986 International Conference on Industrial Electronics, Control and Instrumentation... Milwaukee, WI, September 29-October 3, 1986 / New York : the Institute of Electrical and Electronics Engineers , cop. 1986
Papers / from the tenth international vacuum microelectronics conference (IVMC'97) held in Kyongju, Korea 17-21 august 1997 ; eds. Jong Duk Lee, Dong-Ryul Jeon / New York : American Institute of Physics , 1998
1997 IEEE International Reliability Physics symposium proceedings : 35th annual, Denver, Colorado, April 8, 9, 10, 1997 / sponsored by the IEEE Electron Devices Society and the IEEE Reliability Society / Piscataway, N.J. : Institute of Electrical and Electronics Engineers , cop. 1997
Integrated circuit engineering : basic technology / by the staff of Integrated Circuit Engineering Corporation, Phoenix, Arizona : Glen R. Madland, Howard K. Dicken, Robert D. Richardson... [et al.] / 4th edition / Cambridge, Mass. : Boston Technical Pub. , 1966
The theory and practice of microelectronics / Sorab K. Ghandi,... / New York : Wiley , cop.1968
Etude de la résistance mécanique d'interfaces films/substrats par une méthode de "spallation" laser / Philippe Charconnet / Paris : CNAM , 1998
17th international workshop on thermal investigations of ICs and systems : Therminic : Paris, France, 27-29 September 2011 / [general chair, Bernard Courtois,... vice general chair, Marta Rencz,...] / Grenoble, France : EDA , 2011
Power electronics handbook : components, circuits and applications / F. F. Mazda, ... / London : Butterworths , 1990
Nanoelectronics and nanosystems : from transistors to molecular and quantum devices / Karl Goser, Peter Glösekötter, Jan Dienstuhl / Berlin : Springer , cop. 2004
Les interfaces et la liaison chimique : Toulouse, France, 2-10 septembre 1987 / 7e École d'été méditerranéenne sur les états de surface et la liaison chimique / = 7a Scuola Estiva Mediterranea sugli Stadi di Superficie e il Legame Chimico / = 7a Escuela de Verano Mediterranea sobre los Estados de Superficie y el Enlace Quimico / Les Ulis : les Éditions de Physique , DL 1988
Effet tunnel photonique appliqué à la caractérisation des semi-conducteurs III-V et à la microconnexion / Christel Prioleau ; sous la direction de Michel Castagné / [S.l.] : [s.n.] , [1997]
Etude des bruits basse fréquence dans les détecteurs infrarouge quantiques refroidis à base de HgCdTe / Alexandre Brunner ; sous la direction de Daniel Bauza et de Laurent Rubaldo / , 2015
Méthodes d'analyse et propriétés des dispositifs hyperfréquences 2, Techniques d'analyse / sous la direction de Raymond Quéré, Jean-Luc Gautier / Paris : Hermes science publ.
Materials and strategies for lab-on-a-chip : biological analysis, cell-material interfaces, and fluidic assembly of nanostructures : symposium held April 14-17, 2009, San Francisco, California, U.S.A / editors, Shashi K. Murthy,... Saif A. Khan,... Victor M. Ugaz,... [et al.] / Warrendale (Pa.) : Materials Research Society , cop. 2009
Réalisation d'un ellipsomètre spectroscopique à modulation de phase : calibrages, tests et applications en microélectronique / par Sutrisno ; sous la direction de Claude Llinares / [S.l.] : [s.n.] , [1992]
Mesure et modélisation du bruit de fond électrique basse fréquence dans les transistors intégrés MOS pour l'exploration des pièges et des défauts dans les technologies SOI récentes / par Isabelle Lartigau ; [sous la direction de] Régis Carin / Grenoble : Atelier national de reproduction des thèses , 2004
Conception, réalisation et caractérisation d'un microphone miniaturisé en technologie silicium / Tarik Bourouina ; [sous la direction de] C. Vauge / [S.l.] : [s.n.] , 1991
La microélectronique / par Robert Guillien,... / Paris : Presses universitaires de France , 1969
Réalisation de jonctions pn dans le SiC-6H par implantation ionique d'aluminium = = Pn junction creation in 6H-SiC by aluminium ion implantation : / par Laurent Ottaviani ; sous la direction de Marie-Laure Locatelli / Grenoble : Atelier national de reproduction des thèses , 1999
Laser diagnostics and photochemical processing for semiconductor devices / [First] Symposium [on Laser Diagnostics and Photochemical Processing for Semiconductor Devices] held November 1982 in Boston, Massachusetts, U.S.A. ; ed. R.M. Osgood, S.R.J. Brueck, H.R. Schlossberg / New York [etc.] : North-Holland , cop. 1983
Intégration de capacités MIM tridimensionnelles de 35nF/mm2 et au-delà dans des technologies CMOS et BiCMOS / Aurélie Bajolet ; sous la direction de Gérard Ghibaudo et Laurent Montès / , 2006
Etude de la résolution en profondeur lors de l'analyse par spectrométrie de masse des ions secondaires [Ressource électronique] : détermination de la fonction de résolution pour le bore dans le silicium, mise au point d'une procédure de déconvolution et applications = = Study of the depth resolution of the analysis by secondary ion mass spectrometry : determination of the depth resolution function for dilute profiles of boron in silicon, elaboration of a deconvolution procedure and applications / par Brice Gautier ; sous la direction de Jean-Claude Dupuy / Villeurbanne : Doc'INSA , 1999
Etude numérique et expérimentale des phénomènes de fissuration dans les architectures avancées de la microélectronique = = Experimental and Numerical Investigations of Fracture Phenomena in advanced interconnects : / par Sébastien Gallois-Garreignot ; sous la direction de Daniel Nelias / Lille : Atelier national de reproduction des thèses , 2010
Contribution à la conception d'un circuit analogique programmable en technologie CMOS : conception et caractérisation d'une cellule de calcul analogique = = Contribution to the design of a programmable analogue circuit in CMOS technology : design and characterisation of an analogue computation cell / par Alain Aubert ; sous la direction de Jean-Pierre Chante / Grenoble : Atelier national de reproduction des thèses , 2001
Etude et caractérisation du matériau CuAI1% utilisé en tant que couche de germination pour améliorer les performances de fiabilité des interconnexions des technologies 45 nm et ultérieures [Ressource électronique] = = Study and characterization of the material CuAl1% used as a layer of germination to improve the performance reliability of interconnection technologies and future 45 nm : / par Thomas Vanypre ; sous la direction de Jean-Claude Dupuy et Brice Gautier / Villeurbanne : Doc'INSA , 2008
Physics of semiconductor devices / S.M. Sze, Yiming Li, Kwok K. Ng,... / 4th edition / Hoboken (N.J.) : Wiley
Etude de la formation du siliciure de titane par réaction directe : Influence et redistribution des dopants = = Formation of titanium disilicide from Ti-Si structures : Dopant redistribution influence / par Meriem Berrabah ; sous la direction de Jean-Claude Dupuy / Lieu de publication inconnu] : [éditeur inconnu] , 1994
Les "u.e" et la technopole : ou la transformation du territoire industriel grenoblois sous l'effet de l'innovation en micro-électronique / Pierre Boisgontier et Michel de Bernardy [de Sigoyer] / Grenoble : CEPS , 1986
Microelectronic failure analysis : desk reference / technical editors, Richard J. Ross, Christian Boit ; executive editor, Donald Staab / 4th ed. / Materials Park, OH : ASM International
Biochip technology / ed. by Jing Cheng,... [and] Larry J. Kricka,... / Australia : Harwood Academic Publishers , 2001
Future trends in microelectronics : from nanophotonics to sensors to energy / edited by Serge Luryi, Jimmy Xu, Alexander Zaslavsky / [Hoboken (N.J.)] : Wiley , 2010
Elaboration et caractérisation de quelques diélectriques à forte permittivité avec application en microélectronique / influence de la structure du réseau sur les propriétés électriques ; sous la direction de Tito Busani / , 2006
Interdigital sensors : progress over the last two decades / edited by Subhas Chandra Mukhopadhyay, Boby George, Joyanta Kumar Roy, Tarikul Islam / Cham : Springer
Microelectronics education : proceedings of the 2nd European Workshop held in Noordwijkerhout, The Netherlands, 14-15 May 1998 / edited by Ton J. Mouthaan and Cora Salm / Dordrecht : Kluwer Academic , cop. 1998
Conversion numérique de signaux biologiques de faibles intensités pour les applications biomédicales / Béatrice Guénégo ; sous la direction de Philippe Benabes et de Caroline Lelandais-Perrault et de Emilie Avignon-Meseldzija / , 2023
First International Conference on electron and ion beam science and technology / ed. by Robert Bakish / New York : J. Wiley , 1965
Un ensemble potentiostatique pilote par micro-ordinateur (ELEKTRO KEMAT). Application à la résolution de problèmes chimiques grâce à l'emploi d'ultramicroélectrodes / par Jean Bernard Tommasino ; sous la direction de Patrick Cassoux / [S.l] : [s.n] , [1992]
How do Mechanics and Thermo mechanics affect microelectronic products : some residual stress and strain effects, investigations and industrial management = = Impacts mécaniques et thermomécaniques dans les produits de la microélectronique : effets des contraintes résiduelles et déformations, recherches et développements de méthodes numériques dans un cadre industriel / par Vincent Fiori ; sous la direction de Alain Combescure / Lille : Atelier national de reproduction des thèses , 2010
Spulenlose Hochfrequenzfilter : Grundlagen, Schaltungsentwurf und Anwendungen / von Erik Langer / Berlin : Siemens Aktiengesellschaft
Synthèse et réalisations avec des zéros de transmission de filtres planaires miniaturisés à topologies fractales en substrat suspendu / présentée par Elias Hanna,... ; sous la direction de Pierre Jarry / Grenoble : Atelier national de reproduction des thèses , 2006
Caractérisation du vieillissement électrique de transistors microniques n-Mos par l'étude de la jonction substrat-drain / par Marc Faurichon de la Bardonnie / [Lieu de publication inconnu] : [Éditeur inconnu] , 1996
Handbook of thick film hybrid microelectronic : a pratical sourcebook for designers, fabricators and users / Charles A. Harper / New York : McGraw-Hill , 1982
Internet of things from hype to reality : the road to digitization / Ammar Rayes, Salam Samer / Cham : Springer
A Wafer chuck for use between 196 and 350° C / R.Y. Koyama and M.G. Buehler,. / Washington : U.S. Government printing office , 1979
Élaboration de nouveaux substrats en céramique pour applications en microélectronique / Gilles Pilorget ; sous la direction de Jean Guyader / , 1990
The science and engineering of microelectronic fabrication / Stephen A. Campbell,... / 2nd ed. / New York : Oxford university press , 2001
1982-1983 microelectronic data book / Mostek Corporation / [S.l.] : Mostek , 1982
Effet des nanograins métalliques sur les propriétés de détection des capteurs de gaz à base de WO3 / Mehdi Othman ; sous la direction de Khalifa Aguir et de Najeh Thabet-Mliki / , 2015
Laboratory Explorations to Accompany Microelectronic Circuits / Vincent C. Gaudet et Kenneth C. Smith / Septième éd. / [S.l.] : Oxford University Press , cop. 2015
La microélectronique hybride : la couche épaisse / Sylvain Schmitt / Paris : Hermès , cop. 1990
Miniaturisation des antennes large bande à l'aide de matériaux artificiels / Michaël Grelier ; sous la direction de Xavier Bégaud et Anne-Claire Lepage / [S.l.] : [s.n.] , 2011
Conference on Small electrical machines, 30-31 march 1976, Institution of electrical engineers, London / org. by the Power division of the Institution of electrical engineers / [Londres] : the Institution of electrical engineers , cop. 1976
Second international conference on Small and special electrical machines, 22-24 september 1981, Institution of electrical engineers, London / org. by the Power division of the Institution of electrical engineers / [Londres] : the Institution of electrical engineers , cop. 1981
Etude de matériaux diélectriques pour l’intégration de capacités de haute densité / haute tension dans des structures 3D en silicium / Aude Lefevre ; [sous la direction de] Ulrike Lüders / [Lieu de publication inconnu] : [éditeur inconnu] , 2014
Conception logique et physique des systèmes monopuces / sous la direction de Ahmed-Amine Jerraya / Paris : Hermès Science Publ. , impr. 2002, cop. 2002
Colloque international sur la microélectronique avancée : résumés des conférences = = International conference on advanced microelectronics : summaries of conferences / Paris : [Éditeur inconnu] , 1970
ICMTS 1995 : proceedings of the 1995 International Conference on Microelectronic Test Structures : March 22-25, 1995, Nara, Japan / sponsored by the IEEE Electron Devices Society / Piscataway, NJ : IEEE Service Center , cop. 1995
Caractérisation par la méthode Corona de diélectriques à faible et très faible permittivité / Delphine Fossati (Doloy) ; sous la direction d'Yves Bréchet et Fabien Volpi / , 2008
Une méthode de mise au point des circuits intégrés / Chafik Kara-Terki / Grenoble : Atelier national de reproduction des thèses , 1986
Test et Fiabilité des Mémoires SRAM / Renan Alves Fonseca ; sous la direction de Serge Pravossoudovitch / , 2011
Contribution au développement d'une technologie d'intégration tridimensionnelle pour les capteurs d'images CMOS à pixels actifs / Perceval Coudrain ; sous la direction de Pierre Magnan et de Xavier Gagnard / [S.l.] : [s.n.] , 2009
Handbook of microelectonics packaging and interconnection technologies / edited by F. Nihal Sinnadurai / Ayr : Electrochemical Pub. Ltd , 1985
The Microelectronics revolution : the complete guide to the new technology and its impact on society / edited and introduced by Tom Forester / Cambridge, Mass. : MIT Press , 1981
Contribution à la modélisation et aux simulations en compatibilité électromagnétique des câbles et des circuits microélectroniques / par Andriamanantsoa Ratsimandresy ; sous la direction de Jacques Boucher / Grenoble : Atelier national de reproduction des thèses , 1994
L'Action des pouvoirs publics et la diffusion de la micro-électronique / Organisation de coopération et de développement économiques, [Comité de l'industrie] / Paris : OCDE , 1989
Multi-chip modules d'hier ... et de demain / Michel Massénat,... ; préface de Alberto Boetti,... / Voisins-le-Bretonneux : Mentor sciences , DL 1993
Circuits intégrés VLSI : parcours electrical engineering promotion 2007, PHY559 / Yvan Bonnassieux, Gérard Fontaine, Alain Greiner / Palaiseau : École polytechnique , 2009
Réalisation et étude de composants passifs d'optique intégrée sur substrat silicium sur isolant pour les interconnexions optiques = = Realization and study of passive integrated optical devices based on silicon on insulator substrate for optical interconnect : / par Nicolas Schnell ; sous la direction de Gérard Guillot / Lille : Atelier national de reproduction des thèses , 2005
Microprocessors, manpower and society : a comparative, cross-national approach / edited by Malcolm Warner / Aldershot : Gower , 1984
Réalisation d’un dépôt électrolytique de couche d’accroche, en cuivre, pour les structures d’interconnexions avancées en microélectronique / Mariana Amuntencei ; sous la direction de Daniel Lincot / [S.l.] : [s.n.] , 2010
Etude et mise au point de composants magnétiques multicouches pour intégration en électronique de puissance / Edouard Brun ; sous la direction de Eric Labouré / , 2014
Miniaturisation et fiabilité des interconnexions copper pillar sur desassemblages de type « System In Package » (sip) pour le domaine aéronautique / Adrien Morard ; sous la direction de Fabien Clermidy / , 2019
The innovation marathon : lessons from high technology firms / Mariann Jelinek and Claudia Bird Schoonhoven / Oxford, UK : B. Blackwell , 1990
Carbon-based materials for microelectronics : proceedings of Symposium K on Carbon-based materials for microelectronics of the E-MRS 1998 Spring Conference, Strasbourg, France, June 16-19, 1998 / ed. by J. Robertson, J. Fink, E. Kohn / Amsterdam : Elsevier , 1999
The physics of micro/nano-fabrication / Ivor Brodie and Julius J. Muray,... / New York : Plenum Press
14th International workshop on thermal investigation of ICs and systems : therminic : Rome, Italy, 24-26 September 2008 : collection of papers presented at the / sponsored by CMP, IEEE, CPMT / [Lieu de publication inconnu] : EDA publishing , cop. 2008
Développement de procédés de gravure à base de plasmas réactifs pulsés Pulsed plasmas for etch applications / Moritz Haass ; sous la direction de Olivier Pierre Etienne Joubert / , 2012
Microélectronique 3, Amplificateurs et systèmes amplificateurs / Jacob Millman, Arvin Grabel ; trad. [de la 2e éd. américaine par] Léon Collet / Auckland : McGraw-Hill , 1988
Analyse des différentes stratégies de procédés de gravure de grille métal - high k pour les nœuds technologiques 45 nm et 32 nm / Olivier Luere ; sous la direction d’Olivier Joubert / Villeurbanne : CCSD , 2011
Proceedings of the National Symposium on the Impact of Batch Fabrication on Future Computers : Los Angeles, California, April 6-8, 1965 / sponsored by the Computer Group of the Institute of Electrical and Electronics Engineers / New York : Institute of Electrical and Electronic Engineers , cop. 1965
Conception de systèmes programmables basés sur les NoC par synthèse de haut niveau : analyse symbolique et contrôle distribué / Matthieu Payet ; sous la direction de Frédéric Rousseau et de Virginie Fresse / , 2016
Microélectronique 2, Circuits et systèmes numériques / Jacob Millman, Arvin Grabel ; traduction française Léon Collet / Paris [etc.] : Edisciences , 1994, cop. 1989
Caractérisation et développement d'architectures 3 D pour capacités métal-isolant-métal intégrant des électrodes en cuivre et des diélectriques à permittivité élevée / Maryline Thomas ; sous la direction de Bernard Chenevier / , 2007
Caractérisation, modélisation et fiabilité des diélectriques de grille à base de HfO2 pour les futures technologies CMOS = = Electrical characterization, modeling and reliability of HfO2 based gate oxide for future CMOS technologies : / par Théodore Nguyen ; sous la direction de Carole Plossu et de Liviu Militaru / , 2009
Plasma-surface interactions and processing of materials / ed. by Orlando Auciello... [et al.] / Dordrecht [etc.] : Kluwer Academic Publ , cop. 1990
Caractérisation par la méthode Corona de diélectriques à faible et très faible permittivité / Delphine Fossati (Doloy) ; sous la direction d'Yves Bréchet et Fabien Volpi / Lille : Atelier national de reproduction des thèses , 2008
Étude de la conception des systèmes embarqués sur silicium : une approche de codesign matériel / logiciel / par François Cloute ; sous la dir. de Jean-Noe͏̈l Contensou / [S.l.] : [s.n.] , 2001
Étude du phénomène de relaxation diélectrique dans les capacités Métal-Isolant-Métal / Jean-Philippe Manceau ; sous la direction de Pierre Atten / , 2008
Introduction to semiconductor manufacturing technology / Hong Xiao / Upper Saddle River, N.J. : Prentice Hall , c2001
Microelectronics education : proceedings of the European workshop, Grenoble, France, 5-6 Feb. 1996 / ed. Georges Kamarinos, Nadine Guillemot, Bernard Courtois / Singapore : World Scientific , 1996
Procédés thermiques rapides pour la réalisation de diélectriques ultra-minces sur silicium. Caractérisation par spectroellipsométrie = = Titre eng : Sous-titre eng / par Nathalie Gonon ; sous la direction de Daniel Barbier / [Lieu de publication inconnu] : [éditeur inconnu] , 1993
Elaboration de couches épaisses piézoélectriques déposées sur substrats pour des applications microtechniques [Ressource électronique] = = Elaboration of piezoelectric thick films deposed on substrat for microtechnique application : / par Sarah Le Dren ; sous la direction de Paul Gonnard et Alain Nicolas / Villeurbanne : Doc'INSA , 2000
Polymers for microelectronics : resists and dielectrics / Larry F. Thompson, editor, C. Grant Willson, editor, Seiichi Tagawa, editor / Washington, DC : American Chemical Society , 1994
Etude et réalisation d'un dispositif de test fonctionnel numérique embarqué dans un FPGA : le PIST / Aristides Vaz / [S. l.] : [s. n.] , 2001
La micro-électronique simulateur en main / Étienne Sicard,... ; préface de Antonio Rubio,... / Paris : Technique et documentation , DL 1992, cop. 1992
Responsable de service Back End et Tests un métier dans le monde des télécoms / Philippe Sandri / Grenoble : Ecole Nationale Supérieure d'Electronique et de Radioélectricité , 2001
La microélectronique et ses activités connexes en Basse-Normandie : assemblée plénière du 17 octobre 2011 / Conseil Economique et Social Régional de Basse-Normandie ; rapporteur, Philippe Guetin ; chargé de mission, Philippe Hugo / Caen : CESR de Basse-Normandie , [2011 ?]
1994 IEEE International SOI Conference : proceedings / October 3-6, 1994, White Elephant Resort, Nantucket Island, Massachusetts ; sponsored by the IEEE Electron Devices Society / [New York, N.Y.] : Institute of Electrical and Electronics Engineers , [1994], c1990 [i.e., c1994?]
Superlattices and microstructures : proceedings of symposium T on substrate nanostructuration : self-assembling and nanopatterns of the 2004 European Materials Research Society Meeting, Stransbourg, France, 24-28 May 2004 / guest ed. I Berbezier, A. Pimpinelli, R. Hull ... [et al.] / Amsterdam : Elsevier , 2004
Switching power supply design / Abraham I. Pressman / second edition / New York : McGraw-Hill , cop. 1998
Integration of advanced micro- and nanoelectronic devices--critical issues and solutions : symposia held April 13-16, 2004, San Francisco, California, U.S.A / editors, J. Morais ... [et al.] / Warrendale, Pa. : Materials Research Society , cop. 2004
Etude des commutations de résistance de l'oxyde d'hafnium / Cédric Mannequin ; sous la direction de Patrice Gonon / , 2014
Modern DC-to-DC switchmode power converter circuits / by Rudolf P. Severns, Gordon (Ed) Bloom / New York : Van Nostrand Reinhold Co. , c1985
Advanced semiconductor and organic nano-techniques / editor, Hadis Morkoç / Amsterdam : Academic Press , 2003
Microélectronique / Jacob Millman, Arvin Grabel ; traduction française Léon Collet / Paris : Ediscience international , 1994, cop. 1988
Les nanosciences 2, Nanomatériaux et nanochimie / sous la direction de Marcel Lahmani, Catherine Bréchignac, Philippe Houdy ; [illustrations de Orou Mama] ; [préface de Jean-Marie Lehn] / Paris : Belin , DL 2006
Étude de structures planaires en modes hybrides par la méthode des moindres carrés / par Mohamed Boussouis ; sous la dir. de H. Baudrand / [S.l.] : [s.n.] , 1983
Formation des femmes à la micro-électronique : compte rendu des résultats d'une première enquête menée auprès de projets de formation des femmes à l'informatique et à la micro-électronique dans la Communauté européenne / élaboré par Félix Rauner,... ; Centre européen pour le développement de la formation professionnelle / Luxembourg : Office des publications officielles des Communautés européennes , 1986
SiO2 and its interfaces : symposium held November 30-December 5, 1987, Boston, Massachusetts, U.S.A / ed. S.T. Pantelides, G. Lucovsky / Pittsburgh Pa : Materials Research Society , cop. 1988
Etude par modélisation et caractérisation d'architectures innovantes de transistors pour les circuits logiques dans un environnement mémoires non volatiles embarquées / Jordan Locati ; sous la direction de Karine Castellani-Coulié et de Vincenzo Della Marca et de Christian Rivero / , 2021
Design and production of microelectronic systems and components / prepared by T. Daue and O. Manck / [Vienna] : UNIDO , 1986
Manipulation of magnetic anisotropy in ferromagnetic semiconductors / Murat Cubukcu / Villeurbanne : [CCSD] , 2010
Dielectric material integration for microelectronics / editors W.D. Brown ... [et al.] ; Dielectric Science and Technology, and Electronics Divisions [of the Electrochemical Society] / Pennington, New Jersey : Electrochemical Society , c1998
Réduction de puissance durant le test par scan des circuits intégrés / Nabil Badereddine ; sous la direction de Patrick Girard / Grenoble : Atelier national de reproduction des thèses , 2006
Caractérisation et modélisation thermomécanique des couches d’interconnexions dans les circuits sub-microélectroniques / par Nathalie Cherault ; sous la direction de Jacques Besson et Marie-Hélène Berger / , 2006
Composants de puissance : commutation, fiabilité / Roland Habchi ; sous la direction de Pierre Mialhe et de Chafic Salame / [S.l.] : [s.n.] , 2007
Modèle dynamique temps-réel pour l'animation d'objets poly-articulés dans des environnements contraints : prise en compte des contacts frottants et des déformations locales : application en robotique humanoïde et aux avatars virtuels / Jean-Rémy Chardonnet ; sous la direction de François Pierrot et Abderrahmane Kheddar / Lille : Atelier national de reproduction des thèses , 2009
Physique et technologie du brasage tendre par faisceau laser / Cédric Chaminade ; sous la direction de [Eric Fogarassy] / [S.l.] : [s.n.] , 2006
Etude du transport dans les transistors MOSFETs contraints : modélisation multi-échelle / par Maxime Feraille ; sous la direction de Alain Poncet / , 2009
Proceedings of Melecon'87 / Mediterranean Electrotechnical Conference ; 34th Congress on Electronics Joint Conference, [Rome, Italy, March 24,25,26, 1987] ; sponsored by IEEE,... / [New York] : [the Institute of Electrical and Electronics Engineers] , [cop. 1987]
Miniaturisation et intégration d'antennes imprimées pour systèmes communicants ULB pulsés / par Ali Chami / Villeurbanne : [CCSD] , 2012
1995 IEEE International Reliability Physics proceedings : 33rd annual : Las Vegas, Nevada : April 4, 5, 6, 1995 / sponsored by the IEEE Electron Devices Society and the IEEE Reliability Society / Piscataway, NJ : IEEE , cop. 1995
ESPRIT '91 : proceedings of the annual ESPRIT Conference, Brussels, 25-29 November, 1991 / edited by Commission of the European Communities, Directorate-General Telecommunications, Information Industries, and Innovation / Luxembourg : Commission of the European Communities , 1991
Second séminaire annuel de l'école doctorale d'électronique de Toulouse, 12 au 14 janvier 1999, Toulouse / [avant-propos] J. Graffeuil,... / Toulouse : [s. n.] , 1999
Rapport d'activité : année 2000 / Laboratoire d'Informatique, de Robotique et de Microélectronique de Montpellier / Montpellier : LIRM , 2000
Competing for control : America's stake in microelectronics / Michael G. Borrus / Cambridge, Mass. : Ballinger , c1988
Réalisation d'un micro-capteur d'oxygène en technologie micro-électronique ou compatible / par Monique Dilhan ; sous la direction de Daniel Estève / [S.l] : [s.n] , [1994]
Conception, fabrication de puces microfluidiques à géométrie programmable et reconfigurable reposant sur les principes d'électromouillage sur diélectrique et de diélectrophorèse liquide / Raphaël Renaudot ; sous la direction de Dominique Collard et de Vincent Agache / , 2013
Frontiers of characterization and metrology for nanoelectronics : 2007 International Conference on Frontiers of Characterization and Metrology for Nanoelectronics, Gaithersburg, Maryland, 27-29 March 2007 / editors, David G. Seiler, Alain C. Diebold, Robert McDonald,... [et al.] ; sponsoring organizations, National Institute of Standards and Technology ... [et al.] ; poster session sponsors, Applied Materials ... [et al.] / Melville, N. Y. : American Institute of Physics , 2007
Interface faible consommation pour capteurs MEMS résistifs à faible sensibilité / El Mehdi Boujamaa ; sous la direction de Pascal Nouet / , 2010
BioMEMS / edited by Gerald A. Urban / Dordrecht : Springer , cop.2006
Architectures avancées des transistors FinFETS : réalisation, caractérisation et modélisation / Romain Ritzenthaler ; sous la direction de Sorin Cristoloveanu ; co-encadrant Olivier Faynot / Grenoble : Atelier national de reproduction des thèses , 2006
Elaboration par pulvérisation cathodique et caractérisations électriques de films minces de PZT / par Gwénaël Le Rhun ; [sous la direction de] Gilles Poullain / Grenoble : Atelier national de reproduction des thèses , 2004
Caractérisation électrique et modélisation compacte de mémoires à changement de phase / Corentin Pigot ; sous la direction de Jean-Michel Portal et de Marina Reyboz et de Marc Bocquet / , 2019
Computer aided design of micro- and nanoelectronic devices / Chinmay Kumar Maiti,... / New Jersey [etc.] : World Scientific
Une méthode de mise au point des circuits intégrés / Chafik Kara-Terki / , 1986
Microelectronic systems : design, modelling, and testing / W. Buchanan / London : Arnold , 1997
Réseau de PLLs distribuées pour synthèse automatique d'horloge de MPSOCs synchrones / Anton Korniienko ; sous la direction de Gérard Scorletti et de Éric Blanco et de Eric Colinet / , 2011
Modelling and Simulation of the IR-Drop phenomenon in integrated circuits / Marina Aparicio Rodriguez ; sous la direction de Michel Renovell et de Mariane Comte / , 2013
Caractérisation de nitrures diélectriques déposés par pulvérisation ionique réactive : applications en microélectronique / Alain Bosseboeuf ; [sous la direction de] Guy Gautherin / [S.l.] : [s.n.] , 1989
Réalisation et étude de composants passifs d'optique intégrée sur substrat silicium sur isolant pour les interconnexions optiques = = Realization and study of passive integrated optical devices based on silicon on insulator substrate for optical interconnect : / par Nicolas Schnell ; sous la direction de Gérard Guillot / [S.l.] : [s.n.] , 2005
Dynamic power management of MPSoC using networks of neural cliques / Bartosz Boguslawski ; sous la direction de Claude Berrou / [Lieu de publication inconnu] : [éditeur inconnu] , 2015
Etude de la modification de la source dans l'utilisation de la méthode de co-optimisation source masque en lithographie optique : mise en oeuvre et applications / Clovis Alleaume ; sous la direction de Alexandre Tishchenko / , 2014
Simulation du comportement des capteurs de pression capacitifs microélectroniques / par Pierre-Nicolas Ratier ; sous la direction de J.M. Blasquez / [S.l] : [s.n] , [1993]
Development of automated frequency and time-domain radiated electromagnetic emission models for microelectronic applications / Abhishek Ramanujan ; sous la direction de Anne Louis / [S. l.] : [s. n.] , 2011
The Quantum dot : a journey into the future of microelectronics / Richard Turton / Oxford : W. H. Freeman
Integrated circuits : materials, devices, and fabrication / William C. Till..., James T. Luxon,... / Englewood Cliffs, N.J. : Prentice-Hall , cop. 1982
Caractérisation et modélisation de nouvelles capacités «Through Silicon Capacitors» à forte intégration pour la réduction de consommation et la montée en fréquence dans les architectures 3D de circuits intégrés / Khadim Dieng ; sous la direction de Bernard Flechet / , 2016
Contribution à l'étude des propriétés thermiques de céramiques à base de nitrure d'aluminium / Abdeslem Hafidi ; sous la direction de [Michel Billy et Jean-Pierre Lecompte] / Grenoble : Atelier national de reproduction des thèses , 1992
Optimisation de la récupération d'énergie dans les applications de rectenna / Salah-Eddine Adami ; sous la direction de Christian Vollaire et de François Costa / [S.l.] : [s.n.] , 2013
Advances and applications in the metallography and characterization of materials and microelectronic components : proceedings of the twenty-eighth Annual Technical Meeting of the International Metallographic Society / edited by D.W. Stevens ... [et al.] / Columbus (Ohio) : International Metallographic Society , 1996
Transistor balistique quantique et HEMT bas-bruit pour la cryoélectronique inférieure à 4.2 K / Emile Grémion ; [sous la direction de] Yong Jin / Lille : Atelier national de reproduction des thèses , 2008
Si-based materials for advanced microelectronic devices : synthesis, defects and diffusion : proceedings of the E-MRS IUMRS ICEM 2006 Spring meeting, Symposium U, Nice, France, may 29 - june 2, 2006 / guest ed. Enrico Napolitani, Andrej Kuznetsov, Wolfgang Skorupa ...[et al.] / Amsterdam [etc.] : Elsevier , 2006
Réalisation d'interconnexions de faible résistivité à base de nanotubes de carbone biparois pour la microélectronique / Florent Seichepine ; directeur de thèse, Christophe Vieu et Emanuel Flahaut / [S.l.] : [s.n.] , 2011
Contribution à la caractérisation électrique et à la simulation numérique des cellules photovoltaïques silicium à hétérojonction / Raphaël Lachaume ; sous la direction de Gilles Reimbold / , 2014
1998 proceedings / 48th Electronic Components & Technology Conference, May 25-28, 1998, Seattle, Washington ; sponsored jointly by the Components, Packaging, and Manufacturing Technology Society of the IEEE, and the Electronic Industries Association / [New York, N.Y.] : Institute of Electrical and Electronics Engineers , cop. 1998
The long arm of moore's law : microelectronics and american science / Cyrus C. M. Mody / Cambridge (Mass.)
Analyses morphologiques et dimensionnelles de nanostructures organisées par diffusion centrale des rayons X / Guillaume Freychet ; sous la direction de Mireille Maret / , 2016
Caractérisation, modélisation et simulation des transistors SOI MOSFET décananométriques / Noel Rodriguez Santiago ; sous la direction de Sorin Cristoloveanu et Francisco Gamiz / Lille : Atelier national de reproduction des thèses , 2008
Diminution of the lithographic process variability for advanced technology nodes / Anna Szucs ; sous la direction de Cécile Gourgon / , 2015
Fundamentals of silicon integrated device technology Vol. 2, Bipolar and unipolar transistors / ed. by R. M. Burger and R. P. Donovan,... / Englewood Cliffs (N.J.) : Prentice-Hall , cop. 1968
Microélectronique 4, Traitement de signaux et saisie de données, électronique de puissance / Jacob Millman, Arvin Grabel ; trad. [de la 2e éd. américaine par] Léon Collet / Paris : Ediscience international , 1994
Micro et nano-électronique : bases, composants, circuits / Hervé Fanet / Paris : Dunod , DL 2006
Papers from the International Workshop on Basic Aspects of Nonequilibrium Plasmas Interacting with Surfaces (BANPIS '97) : 26-27 January 1997, Nanki Seaside Lodge, Shirahama, Wakayama, Japan / sponsored by AFOSR/AOARD ; in cooperation with the Japan Society of Applied Physics and the American Vacuum Society / New York : American Vacuum Society , cop. 1998
Synthèse par épitaxie et propriétés magnétiques des semiconducteurs ferromagnétiques dilués à base de GeMn / Giang Le thi ; sous la direction de Vinh Le thanh / [S.l.] : [s.n.] , 2012
1996 IEEE International SOI Conference : proceedings, September 30-October 3, 1996, Sanibel Harbour Resort & Spa, Sanibel Island, Florida / sponsored by the IEEE Electron Devices Society / [New York, N.Y.] : Institute of Electrical and Electronics Engineers , cop. 1996
Thermométrie submicrométrique par fluorescence [Ressource électronique] : caractérisation de micro et nanostructures en milieux sec et liquide / Peter Löw ; directeur de thèse Christian Bergaud / Toulouse , 2009
Développement et amélioration de structures mobiles embarquées dans les interconnexions des puces microélectroniques : Etude du contact mécanique et électrique / Sebastian Orellana ; sous la direction de Karim Inal et de Pierre Montmitonnet / , 2016
Circuits logiques intégrés / par R. Lyon-Caen,... ; introduction de P. Chavance,... / Paris : Masson , 1968, cop. 1968
Etude de mécanismes d'interactions entre une pointe de microscopie AFM et une surface de semiconducteur / Selim Lahimer ; sous la direction de Jacques Bonnafé / [S.l.] : [s.n.] , [1997]
Microsensors : principles and applications / Julian W. Gardner,... / Chichester : J. Wiley & sons , cop. 1994
Conception de systèmes analogiques : méthodologie et environnement de prototypage / Stéphane Colancon ; [sous la direction de] Gaston Cambon / Grenoble : Atelier national de reproduction des thèses , 2001
Current at the nanoscale : an introduction to nanoelectronics / Colm Durkan,... / London : Imperial College Press , cop. 2007
Conception et réalisation d'un sondeur de canal multi-capteur utilisant les corrélateurs "cinq-ports" pour la mesure de propagation à l'intérieur des bâtiments / Van Yem Vu / Villeurbanne : [CCSD] , 2006
High-speed Serial Buses in Embedded Systems / by Feng Zhang / Singapore : Springer Singapore , 2020
Physics of semiconductor devices / S.M. Sze and Kwok K. Ng / 3e ed. / Hoboken, NJ : Wiley-Interscience
Microelectronics and microscopy / edited by Peter W. Hawkes / Boston : Academic Press , c1992
Microélectronique 1, Dispositifs à semiconducteurs / Jacob Millman, Arvin Grabel... ; trad. française Léon Collet / Auckland : McGraw-Hill , cop. 1989
Soldering in electronics : a comprehensive treatise on soldering technology for surface mounting and through-hole techniques / R.J. Klein Wassink / 2nd ed. / Ayr, Scotland : Electrochemical Publications , cop. 1989
Systèmes intégrés pour l'hybridation vivant-artificiel : modélisation et conception d'une chaîne de détection analogique adaptative / François Rummens ; sous la direction de Sylvie Renaud et de Noëlle Lewis / , 2015
Intégration du copolymères P(VDF-TrFE) à une nouvelle technologie de capteurs pyroélectriques : application à la détection d'empreintes digitales / Claire Vacher ; sous la direction de P. Papet / Lille : Atelier national de reproduction des thèses , 2007
Antennas for Small Mobile Terminals / Kyohei Fujimoto, Koichi Itoh / Boston : Artech House , 2018
Design methodologies for VLSI circuits : proceedings / of the NATO Advanced Study Institute held at Louvain, July 8-18 1980 ; ed. by Paul G. Jespers, Carlo H. Seguin, Fernand Van de Wiele / Alphen aan den Rijn : Sijthoff and Noordhoff , 1982
Systèmes nationaux d'innovation et économies en rattrapage : les cas de la Corée du sud et de Taïwan dans l'industrie de la microélectronique / Thierry Paulmier ; sous la direction de Monsieur Gérard Lafay / 2000
Mesure de l'adhérence et des propriétés mécaniques de couches minces par des essais dérivés de la nanoindentation. Application à la microélectronique et au biomédical / par Sébastien Roy ; sous la direction de Evelyne Darque-Ceretti et Eric Felder / Lille : Atelier national de reproduction des thèses , 2008
Effet des nanograins métalliques sur les propriétés de détection des capteurs de gaz à base de WO3 / Mehdi Othman ; sous la direction de Khalifa Aguir et de Najeh Thabet-Mliki / , 2015
Handbook of transistors, semiconductors, instruments, and microelectronics / Harry E. Thomas / Englewood Cliffs (N.J.) : Prentice-Hall
Synthèse de couches minces de carbone vitreux sur substrat de silicium par ablation et irradiation laser / Hatem Diaf ; sous la direction de Patrice Mélinon et de Antonio Pereira / , 2021
Contribution à l'étude des phénomènes induits par les rayonnements ionisants dans les structures à effet de champ au silicium ou à l'arseniure de gallium utilisées en microélectronique / Jean-Luc Leray ; [sous la direction de] René Castagne / [S.l.] : [s.n.] , 1989
Modeling and design of a miniature high precision linear stage on ball bearings / Zidong Yin ; sous la direction de Marc Bonis / [S.l.] : [s.n.] , 2010
Étude des phénomènes de transfert dans un procédé de micro-électrodéposition / Christophe Duchanoy ; sous la dir. de François Lapicque / [Lieu de publication inconnu] : [éditeur inconnu] , 1999
Materials science in microelectronics volume II, : the effects of structure on properties in thin films / E. S. Machlin,... / [2nd ed.] / Amsterdam : Elsevier , cop. 2006
TLMDT : une stratégie de modélisation basée sur temps distribué pour la simulation prallèle de systèmes multi-processeurs intégrés sur puce / Aline Vieira De Mello ; sous la direction de Alain Greiner / [S.l.] : [s.n.] , 2013
ICMTS 1992 : proceedings of the 1992 International Conference on Microelectronic Test Structures, March 16-19, 1992, San Diego, California / New York : Institute of Electrical and Electronics Engineers , [1992]
Circuits microélectroniques : promotion 2003, année 3, majeure 2, PHY568 / Y. Bonnassieux, G. Fontaine, A. Greiner / Palaiseau : École polytechnique , 2006
Architectures d'opérateurs numérique auto-contrôlables / Ting An ; sous la direction de Lirida Alves de Barros / , 2014
Macro-modélisation des structures MOS "haute tension" intégrées avec prise en compte de l'auto-échauffement [Ressource électronique] = = Sub-circuit modelling of " high voltage " integrated MOS structures considering self-heating : / par Anna Canepari ; sous la direction de Jean-Pierre Chante / Villeurbanne : Doc'INSA , 2007
Instructor's manual for microelectronic circuits / Adel S. Sedra,... / 3rd edition / Fort Worth : Sauders College Publishing , cop. 1991
Etude, fabrication et propriétés de transport de transistors CMOS associant un diélectrique haute permittivité et un canal de conduction haute mobilité = = Study, fabrication and transport properties of high mobility channel CMOS transistors with high-k gate dielectrics : / par Olivier Weber ; sous la direction de F. Ducroquet / [S.l.] : [s.n.] , 2005
Conception de circuits en logique ternaire : de la caractérisation au niveau transistor à la modélisation architecturale / Ékué Kinvi-Boh ; [sous la direction de] O. Sentieys / [S.l.] : [s.n.] , 2006
Advances in transparent electronics : from materials to devices : proceedings from the European Materials Research Society 7 - Symposium R, Nice, France, 29 May - 1June 2006 / symposium organizers Elvira Fortunato, Hideo Hosono, Claes Granqvist... [et al.] / Amsterdam : Elsevier
Mesure de l’adhérence et des propriétés mécaniques de couches minces par des essais dérivés de la nanoindentation. Application à la microélectronique et au biomédical [Ressource électronique] / par Sébastien Roy ; sous la direction de Evelyne Darque-Ceretti et Eric Felder / Paris : MINES ParisTech , 2008
Micro system technologies 98 : 6th International Conference on Micro, Electro, Opto, Mechanical Systems and Components, Potsdam, December 1 -3, 1998 / Herbert Reichl ; Ernst Obermeier , editors / Berlin : VDE-Verlag , cop. 1998
Ecrans plats & électronique flexible : parcours electrical engineering promotion 2013, PHY573B / Yvan Bonnassieux, Bernard Geffroy / Palaiseau : École polytechnique , 2016
Extension of socs mission capabilities by offering near-zero-power performances and enabling continuous functionality for Iot systems / Guénolé Lallement ; sous la direction de Jean-Luc Autran et de Daniela Munteanu / , 2019
Microelectronics : wireless technology and MEMS in the developping countries / editor Dr . V. K. Jain,... / New Delhi : Centre for science & technology of the non-aligned and other developing countries (NAM S&T Centre) , 2007
Majeure de physique : Éléments de microélectronique, promotion 1992, 2ème année / Claude Weisbuch / Palaiseau : École polytechnique , 1995
Silicium poreux pour capteurs et MEMS résonants intégrés = = Porous silicon for sensors and integrated resonant MEMS : / par Arnaud Porcher ; sous la direction de Boudjemaa Remaki / , 2009
VO2 nanostructures for dynamically tunable nanophotonic devices / Jimmy John ; sous la direction de Régis Orobtchouk / , 2020
Silicon Valley : les ressorts de l'avance californienne : leçons de 40 entreprises performantes / CÉGOS ; texte rédigé par Martine Basset / Paris : Hommes et techniques , 1984
Etude et réalisation d'un circuit intégré spécifique de mise en forme de signaux délivrés par un détecteur pour une expérience de physique des particules / L. Royer / Clermont-Ferrand : CNAM , 2001
Etude prédictive de fiabilité de nouveaux concepts d'assemblage pour des « system-in-package » hétérogènes / Samed Barnat ; sous la direction de Hélène Fremont et de Alexandrine Guédon-Gracia / , 2011
Security and Trust for Wireless Integrated Circuits / Alán Rodrigo Díaz Rizo ; sous la direction de Haralampos-G. Stratigopoulos et de Hassan Aboushady / , 2023
La RFID sans puce : théorie, conception, mesures / Arnaud Vena, Etienne Perret et Smaïl Tedjini / Londres : ISTE Editions , 2016
Principes et applications des circuits intégrés linéaires : technologie, principe et fonctionnement des circuits intégrés linéaires, schémas d'utilisation / H. Lilen / Paris : Éd. Radio , DL 1968, cop. 1968
Proceedings of the Symposium on Microminiaturization of Electronic Assemblies, march 1958 / Diamond Ordnance Fuze Laboratories ; Edited by Eleanor F. Horsey ... / New York : Hayden , [1958]
Papers / from the 41th international conference on electron, ion and photon beam technology and nanofabrication held at Dana point, california, 27-30 may 1997 ; ed. Geraint Owen / New York : American Institute of Physics , 1997
Le silicium, du sable aux puces 1, Composants microélectroniques / Alain Vignes / London : ISTE Editions
The impact of micro-electronics : a tentative appraisal of information technology / J. Rada ; International labour office / Geneva : International Labour Office , cop. 1980
Spin and charge effects in Andreev Bound States / Cyril Metzger ; sous la direction de Marcelo Fabian Goffman et de Hugues Pothier / , 2022
Optimisation de la filtration en relation avec la qualité de l'air fourni à des salles à empoussièrement contrôlé / Nathalie Lemaitre ; [sous la direction de] M. A. Renoux,.. / Grenoble : Atelier national de reproduction des thèses , 1991
Conception et caractérisation de filtres optiques et de VCSELs accordables à base de micro système sur substrat InP pour les Réseaux optiques multiplexés en longueur d'onde = = Design and characterization of tunable optical filters and tunable VCSELs based on micro electromechanical systems on InP substrate for wavelength division multiplexed netwo : / par Aldrice G. Bakouboula ; sous la direction de Taha Benyattou / Grenoble : Atelier national de reproduction des thèses , 2004
Mesure de bruit de phase faible coût à l'aide de ressources de test numériques / Stéphane David-Grignot ; sous la direction de Laurent Latorre / , 2015
Science and technology of chemical mechanical planarization (CMP) : symposium held April 14-16, 2009, San Francisco, California, U.S.A / editors, Ashok Kumar,... C. Fred Higgs III,... Chad S. Korach,... [et al.] / Warrendale (Pa.) : Materials Research Society , cop. 2010
Etude et mise en oeuvre de cellules résistantes aux radiations dans le cadre de l'évolution du détecteur à pixels d'Atlas technologie CMOS 65 nm / Denis Fougeron ; sous la direction de Hervé Barthélemy / , 2020
16th international workshop on THERMal INvestigations of ICs and systems [Multimédia multisupport] : Barcelona, Spain, 6-8 October 2010 / [Bernard Courtois,... Márta Rencz,...] / Grenoble : EDA publishing , 2010
CCD image sensors in deep-ultraviolet : degradation behavior and damage mechanisms with 84 figures / F.M. Li, A. Nathan / Berlin : Springer
Le silicium, du sable aux puces 1, Composants microélectroniques / Alain Vignes / London : ISTE Editions Ltd
Etude d'un dispositif non volatil à grille flottante du type "flotox" et de son utilisation dans les mémoires vives non volatilesS [Ressource électronique] / par Michel Bétirac / Ecully : Ecole centrale de Lyon , 2010
Microscopie magnétique pour localisation de défaillance de circuits intégrés / par Olivier Crépel ; [sous la direction de] Philippe Descamps et Christophe Goupil / [S.l.] : [s.n.] , 2004
Nitruration sous ammoniac de couches minces de W déposées sur Si : caractérisations physicochimiques et électriques / Mohamed Benyahya ; sous la direction de Alain Deneuville / , 1989
Modélisation des phénomènes physiques à l'échelle nanométrique dans les composants de la microélectronique étudiés par holographie électronique operando / Kilian Gruel ; sous la direction de Martin Hÿtch et de Christophe Gatel / , 2023
VO2 nanostructures for dynamically tunable nanophotonic devices / Jimmy John ; sous la direction de Régis Orobtchouk / , 2020
Colloque sur la microélectronique Tome II, Informatique : 3 au 8 mars 1969 / Toulouse, Journées d'électronique / [Toulouse] : [Université de Toulouse] , 1969
Caractérisation électrique de l'endommagement par électromigration des interconnexions en cuivre pour les technologies avancées de la microélectronique / Lise Doyen / Villeurbanne : [CCSD] , 2009
Analyse de procédés de traitement plasma des résines photosensibles à 193 nm pour le développement de technologies CMOS sub-65 nm / Arnaud Bazin / Villeurbanne : [CCSD] , 2012
Etude de la fiabilité des mesures électriques par la microscopie à force atomique sur couches diélectriques ultra-minces : Développement d'une technique de pompage de charge résolue spatialement pour la caractérisation des défauts d'interface / Antonin Grandfond ; sous la direction de Brice Gautier et de Liviu-Laurentiu Militaru / , 2014
Élaboration et caractérisation des interconnexions pour les nœuds technologiques CMOS 32 et 22 nm = = Development and characterization of interconnects for the 32 and 22 nm CMOS technology nodes : / par Karim Hamioud ; sous la direction de Daniel Barbier / , 2010
Caractérisation électrique et optique d'hétérostructures Si/SiGe/Si pour applications aux transistors à effet de champ à canal p-SiGe à grille isolée ou non isolée = = Optical and electrical characterisation of Si/SiGe/Si heterostructures for applications on isolated or non isolated gate SiGe p-channel field effect transistors : / par Hugo Gamez Cuatzin ; sous la direction de Georges Brémond / [S.l.] : [s.n.] , 1998
Colloque sur la microélectronique Tome III, Hyperfréquences : 3 au 8 mars 1969 / Toulouse, Journées d'électronique / Toulouse : Université de Toulouse , 1969
Réalisation d'une caméra infrarouge à bas coût pour application grand public / par Charles Sion ; sous la direction de Didier Leclercq et de Pascale Godts / [S.l.] : [s.n.] , 2011
Spécification et validation des systèmes monopuces / sous la direction de Ahmed-Amine Jerraya, Gabriela Nicolescu / Paris : Hermes science publ. , DL 2004
Chemical perspectives of microelectronic materials : Symposium held November 30-December 2, 1988, Boston, Massachusetts, USA / Editors Mihal E. Gross,... Joseph M. Jasinski,... John T. Yates, Jr,... / Pittsburgh (Pa) : Materials Research Society , cop. 1989
Croissance de diélectrique à forte permittivité par la technique MOCVD en phase liquide pulsée : élaboration, et caractérisation de films de HfO2 / Karen Dabertrand / Villeurbanne : [CCSD] , 2007
Comprehensive test pattern and approach for characterizing SOS technology / W.E. Ham,. / Washington : U.S. Government printing office , 1980
Elektronik für den Physiker 5, Mikrowellenelektronik / Harry Pfeifer / Berlin : Akademie-Verlag , 1967, cop. 1967
Introduction aux nanosciences et aux nanotechnologies / Alain Nouailhat / Paris : Hermes science publ.
VLSI testing : digital and mixed analogue/digital techniques / Stanley L. Hurst / London : Institution of Electrical Engineers , cop. 1998
Conception et réalisation d'un sondeur de canal multi-capteur utilisant les corrélateurs "cinq-ports" pour la mesure de propagation à l'intérieur des bâtiments / Van Yem Vu ; sous la direction de Xavier Bégaud, Bernard Huyart / [S.l.] : [s.n.] , 2005
Chemistry in microelectronics / edited by Yannick Le Tiec / London : ISTE
Développement d'une technique de caractérisation pour la mesure de déformation et de composition chimique à l'échelle nanométrique appliquée aux dispositifs avancés de la microélectronique / Loïc Henry ; sous la direction de Éric Robin et de Nicolas Bernier et de Laurent Clement / , 2021
Microelectronic circuits / Adel S. Sedra,... Kenneth C. Smith,... Tony Chan Carusone... [et al.] / International 8th edition / New York [etc.] : Oxford University Press
Optimisation des transferts d'énergie pour les systèmes connectés : application aux systèmes RFID communiquant en champ proche à très haut débit / Benoît Couraud ; sous la direction de Edith Kussener et de Thibaut Deleruyelle / , 2017
Architecture of Silicon Photonic Links / Robert Polster ; sous la direction de Éric Cassan / , 2015
Amélioration et suivi de la robustesse et de la qualité de MOSFETs de puissance dédiés à des applications automobiles micro-hybrides / Emilie Pomès ; sous la direction de Jean-Marie Dorkel et de Patrick Tounsi / , 2012
Les nanosciences 2, Nanomatériaux et nanochimie / sous la direction de Marcel Lahmani, Catherine Bréchignac, Philippe Houdy ; [illustrations de Orou Mama] ; [préface de Jean-Marie Lehn] / Paris : Belin , 2015
Etude de caractérisation de matériaux diélectriques de grille à forte permittivité pour les technologies CMOS ultimes [Ressource électronique] = = Characterisation of high permittivity gate dielectric materials for ultimate CMOS technology : / par Youjean Chang ; sous la dir. de Frédérique Ducroquet / Villeurbanne : Doc'INSA , 2004
Neurobionik : Zukunftsmedizin mit mikroelektronischen implantaten / Hans-Werner Bothe, Michael Engel / Frankfurt am main : Umschau , 1998
Pilotage d'une cellule de photo-marquage / Joël Cholvy / [S.l.] : [s.n.] , 1998
Microionics : solid-state integrable batteries / edited by professor M. Balkanski / Amsterdam [etc.] : North-Holland , cop. 1991
Simulation du comportement des capteurs de pression capacitifs microélectroniques / par Pierre-Nicolas Ratier ; sous la direction de J.M. Blasquez / [Lieu de publication inconnu] : [s.n] , [1993]
Ambient intelligence : first European symposium, EUSAI 2003, Veldhoven, The Netherlands, November 3-4, 2003 : proceedings / Emile Aarts, René Collier, Evert van Loenen... [et al.] (eds.) / Berlin : Springer , cop. 2003
Présentation et critique de JESSI (Joint european submicron silicium initiative) : éléments pour la caractérisation de l'avenir de la micro-électronique en Europe / Annick Martheli et Alexandre Loja / [Lieu de publication inconnu] , [1990]
Integrated electronic circuits and systems / Robert Ashford King / Wokingham, Berkshire : Van Nostrand Reinhold Co. Ltd. , 1983
Flot de conception et méthodologie de travail : Synthèse et implémentation physique de systèmes sur puce / Ghislain Parant / , 2003
Collage direct sur surfaces structurées / Damien Radisson ; sous la direction de Elisabeth Charlaix / , 2014
Analyse statistique de l'impact des variations locales sur les courses de signaux dans une mémoire SRAM embarquée / Michael Yap San Min ; sous la direction de Michel Robert / Lille : Atelier national de reproduction des thèses , 2008
Microelectronics and Third-World industries / ed. by Susumu Watanabe / Basingstoke : Macmillan , 1993
Effets transitoires de film flottant pour des applications mémoires dans le cadre de transistors MOS en technologie silicium-sur-isolant complètement désertée / Maryline Bawedin ; sous la direction de Denis Flandre et de Sorin Cristoloveanu / Lille : Atelier national de reproduction des thèses , 2007
Réalisation de sources laser III-V sur silicium / Tiphaine Dupont ; sous la direction de Pierre Viktorovitch et de Laurent Grenouillet / , 2011
Conception et fabrication de sélecteurs non-linéaires à base d'effet tunnel / Maxime Plourde ; sous la direction de Abdelkader Souifi et de Damien Deleruyelle et de Dominique Drouin / , 2022
Étude des phénomènes de transfert dans un procédé de micro-électrodéposition / Christophe Duchanoy ; sous la direction de François Lapicque / Grenoble : Atelier national de reproduction des thèses , 1999
Etude de nanotransistors pour la génération et la détection d'ondes TeraHertz / Jérémy Pousset ; sous la direction de Luca Varani / Lille : Atelier national de reproduction des thèses , 2008
Croissance de diélectrique à forte permittivité par la technique MOCVD en phase liquide pulsée : élaboration, et caractérisation de films de HfO2 / Karen Dabertrand ; sous la direction de Gilbert Vincent, Vincent Cosnier et Billon Thierry / Grenoble : Atelier national de reproduction des thèses , 2006
TIMA Laboratory Annual Report 2000 : Mai 2001 / Bernard Courtois / Grenoble : TIMA , 2001
Circuits intégrés numériques & analogiques : parcours electrical engineering promotion 2012, PHY559 / Yvan Bonnassieux, Alain Greiner / Palaiseau : École polytechnique , 2014
Approche polymorphe de la modélisation électrothermique pour la fiabilisation des dispositifs microélectroniques de puissance / Toufik Azoui ; sous la direction de Jean-Marie Dorkel et de Patrick Tounsi / Toulouse : INSA , 2013
Etude de la fonctionnalisation de structures Si/SiO2 : :(2), Application à la réalisation d'un capteur ionosensible / par Pierre Bataillard ; [sous la direction de Nicole Jaffrezic et Claude Martelet] / Grenoble : Atelier national de reproduction des thèses , 1987
Procédés de réalisation de matériaux "germanium sur isolant" par technique de condensation du germanium / Benjamin Vincent ; sous la direction de Alexander Pisch et Jean-François Damlencourt / , 2008
Les procédés par plasmas impliqués dans l'intégration des matériaux sioch poreux pour les interconnexions en microélectronique / Maxime Darnon ; sous la direction de Olivier Joubert / Lille : Atelier national de reproduction des thèses , 2007
Caractérisation de composants microélectroniques de test pour la technologie ULSI sur silicium / Lelia Soliman ; sous la direction de Kaouther Ketata / Grenoble : Atelier national de reproduction des thèses , 1999
Investigation of aging and cracking mechanisms in advanced ceramic materials for microelectronics / Sarah Rubeck ; sous la direction de Jérôme Chevalier et de Philippe Steyer / , 2022
Microelectronic circuits and devices / Mark N.Horenstein / London : Prentice Hall , 1990
Technologie des semi-conducteurs et des circuits intégrés / Philippe Vanden Bosschelle / Auckland : McGraw-Hill , 1986
Analyse de l'apport des technologies d'intégration tri-dimensionnelles pour les imageurs CMOS : application aux imageurs à grande dynamique / Fadoua Guezzi Messaoud ; sous la direction de Antoine Dupret / , 2014
Microelectronics processing : chemical engineering aspects / Dennis W. Hess, editor, Klavs F. Jensen, editor / Washington DC : American Chemical Society , cop. 1989
Microelectronics Technology and Devices SBMICRO 2004 : proceedings of the Nineteenth International Symposium : [This annual symposium is a part of a joint conference including the 17e Symposium on Integrated Circuits and System Design, and the Microelectronics Student Forum, under the fantasy name "Chip on the Reefs". Porto de Galinhas, Pernambuco state, 7-11 of September 2004] / editors, E. J. P. Santos, R. P. Ribas, J. Swart. ; sponsoring division : Electronics / Pennington, New Jersey : Electrochemical Society , 2004
Ecrans plats : parcours electrical engineering promotion 2008, PHY573B / Yvan Bonnassieux, B. Geffroy / Palaiseau : École polytechnique , 2010
Advances in antenna, signal processing, and microelectronics engineering / edited by Devendra Kumar Sharma,... Rohit Sharma,... Bhadra Pokharel,... [et al.] / Palm Bay : Apple Academic Press , [2023]
Infrared characterization for microelectronics / W. S Lau / Singapore : World Scientific , cop. 1999
Développement de techniques de patterning avancées pour les filières CMOS-sub 10nm / Aurélien Sarrazin ; sous la direction de Christophe Cardinaud et de Nicolas Possémé et de Patricia Pimenta-barros / , 2017
Caractérisations électriques des structures MOS à nanocristaux de Ge pour des applications mémoires non volatiles = = Electrical characterization of Ge nanocrystals embeddes MOS structures for non volatile memory applications : / par Mehdi Kanoun ; sous la direction de Abdelkader Souifi / [S.l.] : [s.n.] , 2004
Physics of semiconductor devices / S.M. Sze and Kwok K. Ng / Hoboken : Wiley-Interscience , 2006
Approches parcimonieuses appliquées à la tomographie électronique analytique / Martin Jacob ; sous la direction de Pascale Bayle-Guillemaud et de Zineb Saghi / , 2021
Solid circuits and microminiaturization : proceedings of the conference held at West Ham College of Technology, June, 1963 / [edited by] G.W.A. Dummer / Oxford : Pergamon press , cop. 1964
Microelectronics technology and devices SBMICRO 2003 : proceedings of the eighteenth international symposium / editors, J. A. Martino, M. A. Pavanello N. I. Morimoto / Pennington, NJ : Electrochemical Society, Inc. , 2003
Contributions à l'étude d'antennes miniatures accordables sur une large bande pour terminaux mobiles en bande UHF / Mohamed Abdallah ; [sous la direction de] Mohamed Himdi / Lille : Atelier national de reproduction des thèses , 2009
Optimisation de la production en terme de productivité et de qualité d'une usine de fabrication de produits électroniques / Rémi Boehler / [S.l.] : [s.n.] , 1999
Intégration de matériaux à forte permittivité électrique (High-k) dans les mémoires non-volatiles pour les générations sub-45 nm / Marc Bocquet ; sous la direction de Georges Pananakakis / [Lieu de publication inconnu] : [Éditeur inconnu] , 2009
Etude d'un matériau diélectrique poreux de type SiOCH : effet des post-traitements plasma et de nettoyage et intégration / Wilfried Puyrenier ; sous la direction de André Ayral, Vincent Rouessac / Lille : Atelier national de reproduction des thèses , 2007
Analyse multivariée des alarmes de diagnostic en vue de la prédiction de la qualité des produits / Mohammed Al-Kharaz ; sous la direction de Mustapha Ouladsine et de Bouchra Ananou / , 2021
Microelectronic circuits and devices / Mark N.Horenstein / 2nd ed / London : Prentice Hall , 1996
Proceedings of the 5th International Microelectronics Conference : May 25-27, 1988, Sunshine City Prince Hotel, Tokyo, Japan / sponsored by ISHM Japan Chapter / Tokyo, Japan : ISHM Japan Chapter , cop. 1988
An Introduction to molecular electronics / edited by Michael C. Petty, Martin R. Bryce and David Bloor / London : Edward Arnold , 1995
Elaboration par PE-MOCVD à injection pulsée et caractérisation de matériaux à forte permittivité de type multicouches ou alliées pour des appliations capacités MIM / Maurice Kahn ; sous la direction de Thhierry Baron et Catherine Dubourdieu / Lille : Atelier national de reproduction des thèses , 2008
Microélectronique sous vide = = Vacuum Microelectronics : / Paris : SFV , 1996
The physics and fabrication of microstructures and microdevices / proceedings of the Winter School [on the Physics and Fabrication of Microstructures], Les Houches, France, March 25-April 5, 1986 ; editors M. J. Kelly and C. Weisbuch / Berlin : Springer , cop. 1986
Contribution à l'étude des plots métalliques disposés périodiquement pour les circuits micro électroniques : application aux télécommunications (blindage, guidage, filtrage, antenne, matériaux à gap photonique) / par Ali Zeid ; sous la direction de Henri Baudrand / Grenoble : Atelier national de reproduction des thèses , 2001
Dielectric films for advanced microelectronics [Ressource électronique] / edited by Mikhail Baklanov, Martin Green and Karen Maex / Chichester : Wiley , cop. 2007
Conception de systèmes analogiques : méthodologie et environnement de prototypage / Stéphane Colancon ; [sous la dir. de] Gaston Cambon / [S.l.] : [s.n.] , 2001
Étude thermodynamique et expérimentale de mélanges d'oxydes à basse température de fluage pour l'électronique / Guy Baret ; sous la direction de Claude Bernard / , 1989
Synthèse par faisceaux d'ions de nanocristaux semi-conducteurs fonctionnels en technologie silicium / Rim Khelifi ; sous la direction de Daniel Mathiot / , 2016
Mise au point de matériaux barrières diélectriques de type 1-SiC:H à faible permittivité déposés par PECVD pour réduire la capacité intermétallique dans les interconnexions avancées des circuits intégrés / Cédric Charles-Alfred ; sous la direction d'Agnès Granier ; co-encadrant Vincent Jousseaume / [S.l.] : [s.n.] , 2009
1995 4th International Conference on Solid-State and Integrated Circuit Technology : proceedings, October 24-28, 1995, Beijing, China / editors, Gary L. Baldwin ... [et al.] / [New York] : Institute of Electrical and Electronics Engineers , [1995]
Conception de haut niveau des systèmes monopuces / sous la dir. de Ahmed-Amine Jerraya / Paris : Hermès science publications , impr. 2002
Hardening study of 28nm FDSOI technology at very high doses of ionizing radiation / Alejandro Urena acuna ; sous la direction de Philippe Dollfus et de Jean-Marc Armani et de Mariem Slimani / , 2021
Amplificateurs CMOS faible bruit pour applications sonar / Jean-Paul Bardyn ; sous la direction d'Eugène Constant / [S.l.] : [s.n.] , 1990
Contribution à une plate forme d'aide à la conception de Microsystème = = Contribution to a platform to help the design of microsystem : / par Rima Hamoui ; sous la direction de Nacer Abouchi / , 2009
Circuits intégrés VLSI : parcours electrical engineering promotion 2006, PHY559 / Yvan Bonnassieux, Gérard Fontaine, Alain Greiner / Palaiseau : École polytechnique , 2008
Conception et réalisation de bio-capteurs électromagnétiques pour l'analyse cellulaire aux fréquences micro-ondes / Claire Dalmay ; sous la direction d'Arnaud Pothier et Pierre Blondy / [S.l.] : [s.n.] , 2009
Proceedings of the 1992 International Symposium on Microelectronics : October 19-21, 1992, Moscone Center, San Francisco, California : ISHM '92 proceedings / sponsored by the International Society for Hybrid Microelectronics / Reston (Va) : International Society for Hybrid Microelectronics , cop. 1992
Microélectronique : technologie et applications / par J. Garnier / Paris : ENSTA , 1975
MIXDES'96 : proceedings of the 3rd Advanced Training Course Mixed design of integrated circuits and systems : Education of computer aided design of modern ICs and devices : Lodz, Poland, 30 May - 1 June 1996 / org. by Division of Microelectronics and Computer Science, Technical University of Lodz, Poland ; Ed. by Andrzej Napieralski, Marek Turowski / Łódź : s. n. , 1996
Etude des mécanismes de diffusion dans les alliages HgCdTe pour la détection infrarouge / Thomas Grenouilloux ; sous la direction de Daniel Mathiot / , 2018
Silicapolis : l'univers de la microélectronique / réal. Galiléa Multimédia ; programme conçu et dirigé par Laurent Chicoineau ; une production de Centre de Culture Scientifique et Industrielle de Grenoble / Version 1.0 / Grenoble : CCSTI , cop. 1998
Science and technology of microfabrication / Symposium [on the Science and Technology of Microfabrication] held December 4-5, 1986, Boston, Massachusetts, U.S.A. ; ed. R.E. Howard... [et al.] / Pittsburgh : Materials Research Society , cop. 1987
Proceedings of the symposia on Patterning Science and Technology II [and] Interconnection and Contact Metallization for ULSI / edited by Wayne Greene ... [et al. ; sponsored by the] Dielectric Science and Technology and Electronics divisions / Pennington, NJ : Electrochemical Society , cop. 1992
Verres du système Ag-Ge-Se : étude électrique et approche structurale par EXAFS / par Serge Tranchant / [S.l.] , [1985]
Advances in silicon carbide processing and applications / Stephen E. Saddow, Anant Agarwal, editors / Boston : Artech House
Conception d'un système pour l'acquisition sélective de signaux neurophysiologiques : électrode multipolaire, prétraitement analogique et circuit d'amplification / Lionel Gouyet ; sous la direction de Yves Bertrand / Lille : Atelier national de reproduction des thèses , 2008
Computer chips and paper clips : technology and women's employment / Heidi I. Hartmann, Robert E. Kraut, and Louise A. Tilly, editors ; Panel on Technology and Women's Employment, Committee on Women's Employment and Related Social Issues, Commission on Behavioral and Social Sciences and Education, National Research Council / Washington, D.C. : National Academy Press , 1986-1987
La Puce et les géants : de la révolution informatique à la guerre du renseignement / Éric Laurent / Paris : France loisirs , 1984
Electron and optical beam testing of electronic devices : proceedings of the third European Conference on Electron and Optical Beam Testing of Electronic Devices, September 1-3, 1993, Zürich, Switzerland / ed. by A. Birolini, M. Ciappa, E. Wolfgang / Amsterdam [etc.] : Elsevier , 1994
Development of a CMOS pixel sensor for embedded space dosimeter with low weight and minimal power dissipation / Yang Zhou ; sous la direction de Yann Hu et de Jérôme Baudot / , 2015
Electronique informatique B10, architecture des ordinateurs et CAO microélectronique (22603) : architecture des ordinateurs / F. Anceau / Paris : CNAM/Médias , 2001
Introduction to nanoelectronics : science, nanotechnology, engineering, and applications / Vladimir V. Mitin,... Viatcheslav A. Kochelap,... Michael A. Stroscio,... / Cambridge : Cambridge University Press , cop. 2008
Electro-optics and microelectronics : proceedings of the 11th International meeting on electro-optics and microelectronics in Israel, held in Tel Aviv, November 9-11, 1999 / edited on behalf of the Israel physical society by Raphael Lavi and Ehud Azoulay,... / Bristol : Institute of physics publishing
Dispositifs GAA [Gate-All-Around] en technologie SON [Silicon-On-Nothing] : conception, caractérisation et modélisation en vue de l'intégration dans les noeuds CMOS avancés / Samuel Harrison ; sous la direction de D. Munteanu et T. Skotnicki / Grenoble : Atelier national de reproduction des thèses , 2005
Conception et caractérisation de filtres optiques et de VCSELs accordables à base de micro système sur substrat InP pour les Réseaux optiques multiplexés en longueur d'onde [Ressource électronique] = = Design and characterization of tunable optical filters and tunable VCSELs based on micro electromechanical systems on InP substrate for wavelength division multiplexed network : / par Aldrice G. Bakouboula ; sous la dir. de Taha Benyattou / Villeurbanne : Doc'INSA , 2005
Mesoscopic electromagnetic model of carbon-nanotube arrays and scalable technological processes : Application to the fabrication of novel antennas / Pierre Franck ; sous la direction de Dominique Baillargeat ; co-dirigée par Beng Kang Tay / [S.l.] : [s.n.] , 2013
Microelectronics technology and devices : SBMICRO 2005 : proceedings of the twentieth international symposium / editors Cor Claeys ... [et al.] / Pennington, N.J. : Electrochemical Society, Inc. , cop. 2005
Développement et caractérisation d’un ASIC de lecture de macro-cellule de photo-détecteurs de grande dimension / Selma Conforti di Lorenzo ; [sous la direction de] M. J.E. Campagne [et de] C. de La Taille / [s.l.] : [s.n.] , 2010
L'Action des pouvoirs publics et la diffusion de la micro-électronique / Organisation de coopération et de développement économiques / Paris : O.C.D.E. , 1989
Synthèse par épitaxie et propriétés magnétiques des semiconducteurs ferromagnétiques dilués à base de GeMn / Giang Le thi ; sous la direction de Vinh Le Thanh / , 2012
Dispositifs GAA [Gate-All-Around] en technologie SON [Silicon-On-Nothing] : conception, caractérisation et modélisation en vue de l'intégration dans les noeuds CMOS avancés / Samuel Harrison ; sous la direction de D. Munteanu et T. Skotnicki / [S.l.] : [s.n.] , 2005
Nouvelles approches d'intégration pour les microsystèmes optiques / Jérôme Valentin ; [sous la dir. de] Françoise Lozes-Dupuy / [S.l.] : [s.n.] , 2004
Circuits and systems based on delta modulation : linear, nonlinear, and mixed mode processing / Djuro G. Zrilic / Berlin : Springer , cop.2005
Substrats et dispositifs avancés sur germanium-sur-isolant (GeOI) : caractérisation, modélisation et simulation / William Van Den Daele ; sous la direction de Sorin Cristoloveanu ; Cyrille Le Royer co-directeur / , 2010
ASIC : circuits intégrés spécifiques / P. de Halleux, J.P. Feste, D. Girault, R.V. Honorat / Paris : Editions Radio. , cop. 1988
1997 proceedings / 47th Electronic Components & Technology Conference, May 18-21, 1997, San Jose, California ; sponsored jointly by the Components, Packaging, and Manufacturing Technology Society of the IEEE, and the Electronic Industries Association / [New York, N.Y.] : Institute of Electrical and Electronics Engineers , cop. 1997
Gravure de l'aluminium en plasmas halogénés basse pression-influence du facteur d'aspect / par Philippe Boyer ; sous la direction de Jean-Claude Portal / Grenoble : Atelier national de reproduction des thèses , 1993
Microélectronique 3, Amplificateurs et systèmes amplificateurs / Jacob Millman, Arvin Grabel... ; trad. française Léon Collet / Paris : Ediscience international , 1994
Méthodes de mesure pour l'analyse vectorielle aux fréquences millimétriques en technologie intégrée / Vipin Velayudhan ; sous la direction de Jean-Daniel Arnould et de Emmanuel Pistono / , 2016
Étude par modélisation des événements singuliers (SET/SEU/SEL) induits par l'environnement radiatif dans les composants électroniques / Ahmad Al Youssef ; sous la direction de Laurent Artola et de Guillaume Hubert / , 2017
Développement de procédés de gravure de grille métallique W, WN pour les noeuds technologiques sub-45 nm / Thomas Morel ; sous la direction d'Olivier Joubert / , 2009
110 integrated circuit projects for the home constructor / R.M. Marston / London : Iliffe , cop. 1971
Traitement de signaux et saisie de données, électronique de puissance / Jacob Millman, Arvin Grabel ; traduction française Léon Collet / Paris : Ediscience international , 1994
Développement de méthodes de caractérisation chimiques de surface en support à l'amélioration des procédés de la microélectronique avancée / Anthony James ; sous la direction de Didier Léonard / , 2015
Contraintes d'origine thermique dans les assemblages électroniques / par Frédéric Michard ; sous la direction de Jean-Paul Caltagirone / Grenoble : Atelier national de reproduction des thèses , 1994
Propriétés thermo-mécaniques des micro-batteries / Feng Xu ; sous la direction de Jean-Yves Duquesne / [S.l.] : [s.n.] , 2012
Infos 2007 : proceedings of the 15th Biennal Conference on Insulating Films on Semiconductors, June 20-23, 2007, Glyfada Athens, Greece / ed. par A. Dimoulas et P. Normand / Amsterdam : Elsevier , 2007
Thick film hybrid microcircuit technology / D. W. Hamer, J. V. Biggers / New York : Wiley-Interscience , cop. 1972
Caractérisation physique de la microstructure des interconnexions avancées Cu/Low-k pour l'étude des défaillances par électromigration / Romain Galand ; sous la direction de Yves Wouters / Grenoble : Université de Grenoble , 2011
Etude de la recristallisation du silicium par procédé laser nanoseconde pour la formation et le contrôle des jonctions ultraminces / Mohamed Darif ; sous la direction de Nadjib Semmar et de Eric Millon / , 2011
Etude des non-linéarités de permittivité de diélectriques utilisés en microélectronique : application aux capacités MIM [Métal-Isolant-Métal] / par Stéphane Bécu,... / Villeurbanne : [CCSD] , 2007
Contribution à l'étude de la miniaturisation des émetteurs récepteurs pour les communications mobiles : étude des potentialités de la technologie microruban sur substrat à haute permittivité / Abdel Fattah Sheta ; sous la direction de Serge Toutain / [S.l.] : [s.n.] , 1996
Impact of geometry on charge trap non volatile memories / Étienne Nowak ; sous la direction de Gérard Ghibaudo ; Luca Perniola encadrant / , 2010
Polymers for Microelectronics, Science and Technology : Proceedings of the International Symposium on "Polymers for Microelectronics, Science and Technology" (PME'89), Tokyo, October 29 to November 2, 1989 / ed. by Yoneho Tabata...[et al.] / Weinheim : VCH , 1990
Macro-modélisation des structures MOS "haute tension" intégrées avec prise en compte de l'auto-échauffement = = Sub-circuit modelling of " high voltage " integrated MOS structures considering self-heating : / par Anna Canepari ; sous la direction de Jean-Pierre Chante / Lille : Atelier national de reproduction des thèses , 2006
Switching power supply design / Abraham I. Pressman / New York : McGraw-Hill , cop. 1991
Microelectronics / J.R.A. Beale,... E.T. Emms,... R.A. Hilbourne,. / London : Taylor and Francis , 1971
Techniques de fabrication des microsystèmes 2, Systèmes microélectromécaniques 3D et intégration de matériaux actionneurs / sous la direction de Michel de Labachelerie / Paris : Hermes science publ. , DL 2004
Couches superépaisses de cuivre sérigraphiées sur alumine pour des applications en microélectronique hybride de puissance / par Moulay Omar Rachidi ; sous la direction de Claude Lucat / Grenoble : Atelier national de reproduction des thèses , 1999
PIC microcontrollers : an introduction to microelectronics / Martin Bates / 2nd ed. / , C 2004
Nanostructures in electronics and photonics / edited by Faiz Rahman,... / Singapore : Pan Stanford Pub. , cop. 2008
Technique de synthèse des circuits intégrés / A. Alexenko, I. Chagourine ; [traduit du russe par V. Koliméev] / Moscou : Mir , cop. 1986
Intégration de capacités MIM tridimensionnelles de 35nF/mm2 et au-delà dans des technologies CMOS et BiCMOS / Aurélie Bajolet ; sous la direction de Gérard Ghibaudo et Laurent Montès / Grenoble : Atelier national de reproduction des thèses , 2006
Méthodologie de caractérisation de paramètres thermomécaniques de matériaux pour la microélectronique / par Olivier Perat ; [sous la direction de] J. M. Dorkel ; [sous la direction de] E. Scheid / [Toulouse] : [s.n.] , 2002
Circuit microélectronique : promotion 2002, année 2005, PHY568 / François Anceau,...et al / Palaiseau : École polytechnique , 2005
Physique des semiconducteurs et des composants électroniques / Henry Mathieu,... / 4e édition / Paris : Masson , DL 1997
Microélectronique 2, Circuits et systèmes numériques / Jacob Millman, Arvin Grabel ; traduction française Léon Collet / Auckland : McGraw-Hill , 1989
Micromechanics and nanoscale effects : MEMS, multi-scale materials and micro-flows / edited by Vasyl Michael Harik and Li-Shi Luo / Dordrecht : Kluwer Academic Publishers , 2004
Etude des procédés de nettoyage des substrats de silicium en microélectronique / François Tardif ; sous la dir. de François Arnaud d'Avitaya / [S.l.] : [s.n.] , 2002
Etude de la réalisation et des propriétés des sources d'ions à métal liquide / René Ohana ; sous la direction de Christian Colliex / , 1980
Impact des chimies de nettoyage et des traitements plasma sur les matériaux diélectriques à basse permittivité / Matthieu J. Lépinay ; sous la direction de André Ayral et de Vincent Rouessac / , 2014
Photon processing in microelectronics and photonics IV : 24-27 January 2005, San Jose, California, USA / Jim Fieret... [et al.], chairs/editors ; sponsored and published by SPIE, the International Society for Optical Engineering / Bellingham (Wash.) : SPIE , cop. 2005
Caractérisation expérimentale et optimisation de procédés plasma pour la décontamination de l'AMC (Contamination Moléculaire Aéroportée) / Christopher Laurent ; sous la direction de Philippe Guillot et de Bruno Caillier / , 2016
Fundamentals of microsystems packaging / Rao R. Tummala, editor / New York : McGraw-Hill , cop.2001
Mécanismes physico-chimiques dans le procédé de gravure plasma du Silicium / par Mellhaoui Xavier ; [sous la dir. de] Pierre Ranson,... [et de] Rémi Dussart,... / [S.l.] : [s.n.] , 2006
Micro-actionneurs électroactifs / sous la direction de Orphée Cugat / Paris : Hermès science publ. , DL 2002
Actes des colloques du 50e anniversaire du CEA Tome II, / [Commissariat à l'énergie atomique] ; [Massimo Salvatores] ... [et al.] / Paris : Commissariat à l'énergie atomique , 1997
Fabrication engineering at the micro and nanoscale / Stephen A. Campbell / 4ème édition / New York : Oxford University Press , cop. 2013
Conception et réalisation d'une matrice de microéjecteur thermique adressable individuellement pour la fonctionnalisation de biopuce [Ressource électronique] / David Jugieu ; sous la direction de Anne-Marie Gué / Toulouse : INP Toulouse , 2005
Techniques de conception d'oscillateurs contrôlés en tension à très faible bruit de phase en bande Ku intégrés sur silicium en technologie BiCMOS / Jérémy Hyvert ; sous la direction de Jean-Marie Paillot et de David Cordeau / , 2016
Transport dans les transistors MOS avancés : exploitation de la magnétorésistance du canal / Wipa Chaisantikulwat ; sous la direction de Mireille Mouis et Sorin Cristoloveanu / , 2008
Heteromagnetic Microelectronics : Microsystems of Active Type / Alexander A. Ignatiev, Alexander V. Lyashenko / New York : Springer , cop. 2010
Microcapteurs intégrés dans les diffuseurs thermiques diphasiques sur SOI : application au refroidissement de dispositifs microélectroniques / Bogdan Bercu ; sous la direction de Panagiota Morfouli et Laurent Montès / Lille : Atelier national de reproduction des thèses , 2008
Gravure profonde du silicium par le procédé cryogénique : application à la réalisation de trous traversants : optimisation du procédé, mécanismes réactionnels en phase gazeuse et interaction plasma/silicium / par Thomas Tillocher ; [sous la direction de] M. Pierre Ranson,... ; co-encadrant, M. Rémi Dussart,... / [S.l.] : [s.n.] , 2006
Introduction to microdisplays / David Armitage, Ian Underwood, Shin-Tson Wu / West Sussex, England : Wiley , cop. 2006
8th European hybrid microelectronics conference, Proceedings : Rotterdam, The Netherlands, May 28-31, 1991, De Doelen / [S.l.] : International Society for Hybrid Microelectronics Europe , cop. 1991
Couches superépaisses de cuivre sérigraphiées sur alumine pour des applications en microélectronique hybride de puissance / par Moulay Omar Rachidi ; sous la direction de Claude Lucat / , 1999
Évaluation et pilotage des activités de recherche dans la R&D centrale de STMicroelectronics [Ressource électronique] : nouveaux principes de management de la recherche industrielle pour l'innovation de rupture / par Yacine Felk ; sous la direction de Pascal Le Masson et Benoît Weil / Paris : mines ParisTech , 2011
Majeure de physique : Éléments de microélectronique, promotion 1993, 2ème année / Claude Weisbuch / Palaiseau : École polytechnique , 1996
Circuit de récupération d'énergie mécanique pour l'alimentation de capteurs communicants sans fil / Pierre Gasnier ; sous la direction de Jean-Jacques Chaillout / , 2014
Microelectronic materials and processes / edited by R.A. Levy,... / Dordrecht : Kluwer Academic Publishers
Physique et technologie en microélectronique / [Ed., J. Hartmann ; présentation, S. Laval] / Paris : Revue générale de l'électricité , 1999
Réalisation de sources laser III-V sur silicium / Tiphaine Dupont ; sous la direction de Pierre Viktorovitch et de Laurent Grenouillet / [S.l.] : [s.n.] , 2011
Etude et conception de circuits innovants exploitant les caractéristiques des nouvelles technologies mémoires résistives / Vincent Lorrain ; sous la direction de Antoine Dupret / , 2018
Delta-sigma A/D-converters : practical design for communication systems / Richard Gaggl / Heidelberg : Springer
Voyage d'étude au Japon, mars 1980 : microélectronique et robotique / Commissariat général du plan ; [voyage conduit par Alain Fillion] / s.l. : Commissariat général du plan , [1980?]
Etude d'un profil de défauts induit par une implantation d'ions focalisés dans une structure de type GaAS/GaAlAs / J. Gierak / Paris : CNAM , 1996
Réalisation d'une encre diélectrique pour microélectronique hybride / Guy Roche / Limoges : CNAM , 1981
Architectures avancées des transistors FinFETS : réalisation, caractérisation et modélisation / Romain Ritzenthaler ; sous la direction de Sorin Cristoloveanu ; co-encadrant Olivier Faynot / , 2006
Microelectronics for neural networks : proceedings of the 1st International workshop on ..., june 25-26, 1990, university of Dortmund, Dortmund, F.R. Germany / in cooperation with Germany society of the Institute of Electrical and Electronics Engineers, Society of Information Technology (Informationstechnische Gesellschaft) ; editors K. Goser, U. Ramacher, U. Rückert / Dortmund : University of Dortmund , cop. 1990
Packaging avancé sur silicium : état de l'art et nouvelles tendances / sous la direction de Gilles Poupon / Paris : Lavoisier , impr. 2008
Etude des résines à amplification chimique 193 nm de tonalité positive ou négative pour une application microélectronique sub65 nm / Michael May ; sous la direction du Pr. Georges Hadziioannou / Strasbourg : Université de Strasbourg , 2008
The modal method : a reference method for modeling of the 2D metal diffraction gratings / Ivan Gushchin ; sous la direction de Alexandre Tishchenko / , 2011
Développement d’une microbatterie Li-ion 3D & Étude d’une anode de silicium amorphe déposée par LPCVD sur substrat 3D / Elise Denoyelle ; [sous la direction de] Christophe Goupil / [S.l.] : [s.n.] , 2010
Etude et optimisation de la micro-découpe par laser DPSS haute cadence de puces à haut gap optique pour les applications microélectroniques / Anthony Guillaume Savriama ; sous la direction de Nadjib Semmar et de Chantal Boulmer-Leborgne / , 2014
Smart electronic systems : heterogeneous integration of silicon and printed electronics / Li-Rong Zheng, Hannu Tenhunen, Zhuo Zou / Weinheim : Wiley-VCH , 2018
Technologie microélectronique : du silicium aux circuits intégrés / Olivier Bonnaud,.. / Paris : Ellipses , DL 2008
Fabrication et étude physique de dispositifs électroniques à nanotubes de carbone / Lionel Fourdrinier ; sous la direction de Robert Baptist, Emmanuelle Rouvière et Laurent Montès / Lille : Atelier national de reproduction des thèses , 2009
Nanoparticules mono- et bimétalliques pour la métallisation de microvias par un procédé innovant utilisant les liquides ioniques / Philippe Arquillière ; sous la direction de Catherine Santini / , 2012
Printed Electronics Europe 2009 & Photovoltaics beyond Conventional Silicon Europe : April 7-8, 2009 – Dresden, Germany / IDTechEx / Dresde (Allemagne) : PEE , 2009, cop. 2009
Physique des semiconducteurs et des composants électroniques : [cours] / Henry Mathieu,... / 5e édition / Paris : Dunod , impr. 2001
Etude et modélisation de la précipitation de particules de silicium dans des couches de silice nanométriques / par Manuel Roussel / Villeurbanne : [CCSD] , 2013
Materials science of high temperature polymers for microelectronics : Symposium held April 29-May 2, 1991, Anaheim, California, U.S.A / ed. D.T. Grubb, Itaru Mita, D.Y. Yoon / Pittsburgh (Pa) : Materials Research Society , cop. 1991
Développement de nouveaux électrolytes liquides ioniques pour microsupercondensateurs à base d'oxydes métalliques / Jensheer Shamsudeen Seenath ; sous la direction de David Pech et de Dominic Rochefort / Toulouse : Université Toulouse 3 , 2023
Définition d'une représentation intermédiaire basée sur une approche service pour le prototypage virtuel de systèmes sur puce / Alexandre Chureau / Villeurbanne : [CCSD] , 2009
Etude thermodynamique et structurale de la formation des dépôts minces isolants sur silicium pour la micro-electronique. : Application au systeme NH3/Silicium monocristallin = = thermodynamical and study of the formation of insulating films on silicon for microelectronics : application NH3 / monocrystalline silicon / par Khalid Chafik ; sous la direction de Jean-Claude. Bureau / Grenoble : Atelier national de reproduction des thèses , 1994
Conception d'une mémoire SRAM en tension sous le seuil pour des applications biomédicales et les nœuds de capteurs sans fils en technologies CMOS avancées / Anis Feki ; sous la direction de Bruno Allard / , 2015
Contribution à une plate forme d'aide à la conception de Microsystème [Ressource électronique] = = Contribution to a platform to help the design of microsystem : / par Rima Hamoui ; sous la direction de Nacer Abouchi / Villeurbanne : Doc'INSA , 2009
Caractérisations électriques des structures MOS à nanocristaux de Ge pour des applications mémoires non volatiles = = Electrical characterization of Ge nanocrystals embeddes MOS structures for non volatile memory applications : / par Mehdi Kanoun ; sous la direction de Abdelkader Souifi / Grenoble : Atelier national de reproduction des thèses , 2004
Principles of CMOS VLSI design : a systems perspective / Neil H. E. Weste,... Kamran Eshraghian,... / 2nd edition / Reading, Mass. : Addison-Wesley
Microelectronic circuits / Adel S. Sedra,... Kenneth C. Smith,... / Fourth edition / New York : Oxford University Press , 1998, cop. 1998
La micro-électronique, la productivité et l'emploi / Organisation de coopération et de développement économiques / Paris : O.C.D.E , 1981
Switching power supply design / Abraham I. Pressman / 2nd edition / New York : McGraw-Hill , cop. 1998
Advanced metallizations in microelectronics : symposium held April 16-20, 1990, San Francisco, California, U.S.A / ed. Avishay Katz, Shyam P. Murarka, Ami Appelbaum / Pittsburgh (Pa) : Materials Research Society , cop. 1990
Networks on chip / edited by Axel Jantsch and Hannu Tenhunen / Boston : Kluwer Academic Publishers , cop. 2003
Understanding microelectronics : a top-down approach / Franco Maloberti,... / Chichester (GB) : Wiley
Micro electro mechanical systems. 1994 : proceedings IEEE workshop on ..., MEMS'94, Oiso, Japan, January 25-28, 1994 / IEEE. Robotics and automation society / Piscataway : IEEE
Evaluation des futures technologies CMOS (Inférieure à 50 nm) au niveau circuit / par Manuel Sellier / Villeurbanne : [CCSD] , 2011
Laboratory manual for Microelectronic circuits / Kenneth C. Smith,... / 3rd edition / Fort Worth : Sauders College Publishing , cop. 1991
Contribution à l'étude de la conversion analogique-numérique sigma-delta intégrée dans une chaîne de réception radiofréquence pour les applications cellulaires / par Yann Le Guillou ; [sous la direction de] Patrice Gamand / , 2005
Etude et intégration de jonctions ultra-fines pour les technologies CMOS 45 nm et en deçà = = Ultra Shallow Junotion studies and integration for 45 nm and below technology node : / par Benjamin Dumont ; sous la direction de Thomas Skotnicki et Abdelkader Souifi / [S.l.] : [s.n.] , 2007
Synthèse de nanoparticules de cuivre pour la réalisation d'interconnexions en microélectronique / Clément Barrière ; directeurs de thèse, Bruno Chaudret et Pierre Fau / [S.l.] : [s.n.] , 2008
Performance de la mémoire DRAM embarquée sans capacité sur film mince / Sophie Puget ; sous la direction de Rachid Bouchakour et Jean-Michel Portal / Lille : Atelier national de reproduction des thèses , 2009
ETUDE ET MODELISATION NUMERIQUE DU FONCTIONNEMENT DE MICROVALVES / GABOR BALINT ; SOUS LA DIR. DE LUCIEN BALDAS et JOZSEF ROHACS / [S.l.] : [s.n.] , 2001
CIP'2001 : Proceedings / 13th international colloquium on plasma processes, June 10-14, 2001, Antibes - Juan-les-Pins, France / Paris : Société française du vide , DL 2001
Cell-based biosensors : principles and applications / Ping Wang, Qingjun Liu, editors / Boston : Artech House , cop. 2010
Contribution à l'étude des propriétés thermiques de céramiques à base de nitrure d'aluminium / Abdeslem Hafidi ; sous la direction de [Michel Billy et Jean-Pierre Lecompte] / , 1992
Etude et intégration de mémoires résistives 3D pour application haute densité / Giuseppe Piccolboni ; sous la direction de Gérard Ghibaudo et de Gabriel Molas / , 2016
Développement d'une microbatterie Li-ion 3D & Étude d'une anode de silicium amorphe déposée par LPCVD sur substrat 3D / Elise Denoyelle ; [sous la direction de] Christophe Goupil / Lille : Atelier national de reproduction des thèses , 2010
Microcircuits and their applications / éd. by W. Gore, ... / London : Iliffe , 1969
Mécanismes physico-chimiques dans le procédé de gravure plasma du Silicium / par Mellhaoui Xavier / Villeurbanne : [CCSD] , 2006
Contribution à la réduction de l'émission parasite des micro-contrôleurs en CMOS sub-micronique [Ressource électronique] / Sébastien Calvet ; [sous la direction de] Etienne Sicard / Toulouse : INSA , 2003
Etude de la faisabilité de caissons traversant dans le silicium pour application aux composants de puissance / David Barge ; sous la dir. de Bernard Pichaud / [S.l.] : [s.n.] , 2001
Développement et amélioration de structures mobiles embarquées dans les interconnexions des puces microélectroniques : Etude du contact mécanique et électrique / Sebastian Orellana ; sous la direction de Karim Inal et de Pierre Montmitonnet / Sophia Antipolis : Mines Paris-Tech , 2016
Intégration sur silicium des capteurs et des fonctions de traitement de signal généré par des rayonnements nucléaires : application à la mesure du radon / Ragheb Hijazi ; sous la direction de Bruno Barelaud / [S.l.] : [s.n.] , 2012
Physics of semiconductor devices / S.M. Sze,... ,Kwok K. Ng,... / 3rd edition / Hoboken (N.J.) : Wiley-Interscience
Chip war : the fight for the world's most critical technology / Chris Miller / First Scribner hardcover edition. / New York : Scribner, an imprint of Simon & Schuster , 2022
Semiconductor packaging [Ressource électronique] : materials interaction and reliability / Andrea Chen, Randy Lo / Boca Raton : CRC Press , cop. 2012
Conception et réalisation d'un microsystème pour la mesure d'encrassement organique, minéral et biologique dans les procédés - : intégration des régimes thermiques périodiques. / Jonathan Crattelet ; sous la direction de Luc Fillaudeau / , 2010
Caractérisation et modélisation de la fiabilité relative au piégeage dans des transistors décananométriques et des mémoires SRAM en technologie FDSOI / Alexandre Subirats ; sous la direction de Gérard Ghibaudo et de Xavier Garros / , 2015
Microelectronics and the engineering industry : the needs for skills / edited by N. Swords-Isherwood and P. Senker,... / London : Frances Pinter , 1980
Chemical mechanical planariarization[sic] in integrated circuit device manufacturing : proceedings of the Second International Symposium on Chemical Mechanical Planariarization[sic] in Integrated Circuit Device Manufacturing / editors, S. Raghavan, R.L. Opila, L. Zhang / Pennington, New Jersey : Electrochemical Society
Réduction de puissance durant le test par scan des circuits intégrés / Nabil Badereddine ; sous la direction de Patrick Girard / Montpellier : Université de Montpellier 2 Sciences et Techniques du Languedoc , 2006
Semi-custom IC design and VLSI / edited by P.J. Hicks / London : P. Peregrinus on behalf of the Institution of Electrical Engineers , cop. 1983
La haute intégration en électronique : rapport de synthèse / Groupe microélectronique de l'Observatoire Français des Techniques Avancées / Paris : O.F.T.A. , 1987
Caractérisation et modélisation des mémoires Flash embarquées destinées aux applications faible consommation et à forte contrainte de fiabilité. / Guillaume Just ; sous la direction de Frédéric Lalande / , 2013
Architectures avancées de transistors CMOS SOI pour le nœud 32 nm et en deça : films ultra-fins, contraintes mécaniques, BOX mince et plan de masse / Claire Gallon ; sous la direction de Sorin Cristoloveanu et de Thomas Skotnicki / Lille : Atelier national de reproduction des thèses , 2007
Microélectronique / Jacob Millman, Arvin Grabel ; trad. [de la 2e éd. américaine par] Léon Collet / Auckland : McGraw-Hill , 1988
Méthode d'analyse de données pour le diagnostic a posteriori de défauts de production - Application au secteur de la microélectronique / Hasna Yahyaoui ; sous la direction de Xavier Boucher / , 2015
Making Silicon Valley : innovation and the growth of high tech, 1930-1970 / Christophe Lécuyer / Cambridge (Mass.) : The MIT Press
Electronic packaging, microelectronics, and interconnection Dictionary / Charles A. Harper, Martin B. Miller / New York : McGraw-Hill , cop. 1993
Une histoire de la microélectronique : une révolution technique qui a profondément transformé le monde en moins d'un demi-siècle / Philippe Matherat / Sarrebruck : Editions universitaires européennes , cop. 2010
Laser diode microsystems / Hans Zappe / Berlin : Springer , cop. 2004
Design and validation of innovative integrated circuits and embedded systems for neurostimulation applications / Jonathan Castelli ; sous la direction de Noëlle Lewis et de Sylvie Renaud / , 2017
Elaboration par PE-MOCVD à injection pulsée et caractérisation de matériaux à forte permittivité de type multicouches ou alliées pour des appliations capacités MIM / Maurice Kahn ; sous la direction de Thhierry Baron et Catherine Dubourdieu / , 2008
Semiconductor measurement technology : microelectronic ultrasonic bonding / George G. Harman, editor / [Washington] : U. S. Dept. of Commerce, National Bureau of Standards; [for sale by the Supt. of Docs, U. S. Govt. Print. Off.] 1974.
Comparison between micronic and sub-micronic gg-nMOST protection cells under electrostatic discharge for applications in the new technologies / Ph. Galay,... V. Berland,... B. Foucher,... [et al.] / Courbevoie : École supérieure d'ingénierie Leonard de Vinci , 2002
Microelectronic packaging / ed. by Madhav Datta, Tetsuya Osaka, J. Walter Schultze / Boca Raton, FL : CRC Press , 2004
Electrical characterization of fully depleted SOI devices based on C-V measurements / Blend Mohamad ; sous la direction de Gérard Ghibaudo et de Charles Leroux / , 2017
Manipulation of magnetic anisotropy in ferromagnetic semiconductors / Murat Cubukcu ; sous la direction de Hans Jurgen von Bardeleben / [S.l.] : [s.n.] , 2010
ETUDE ET MODELISATION NUMERIQUE DU FONCTIONNEMENT DE MICROVALVES / Gabor Balint ; sous la direction de LUCIEN BALDAS et JOZSEF ROHACS / Grenoble : Atelier national de reproduction des thèses , 2001
Etude des mécanismes de la gravure isotrope sélective pour des dispositifs avancés de microélectronique / par Véronique Caubet-Hilloutou ; [sous la direction de] Laïfa Boufendi,... ; co-encadrant, Stéphan Borel,... / [S.l.] : [s.n.] , 2006
Une méthode d'alignement d'images pour l'inspection automatique en microélectronique : application aux réticules et aux masques / Youssef Daher / Grenoble : Atelier national de reproduction des thèses , 1985
Synthèse de nanoparticules de cuivre pour la réalisation d'interconnexions en microélectronique / Clément Barrière ; directeurs de thèse, Bruno Chaudret et Pierre Fau / Lille : Atelier national de reproduction des thèses , 2008
Mesure de déformation et cristallinité à l'échelle nanométrique par diffraction électronique en mode précession / Mathieu Pierre Vigouroux ; sous la direction de François Bertin et de Bernard Chenevier / , 2015
Digital microelectronics / Haldun Haznedar / Redwood City [etc.] : Benjamin-Cummings , 1991
Science et défense 91 : nouvelles avancées scientifiques et techniques / Ministère de la Défense ; Délégation Générale pour l'Armement / Paris : Dunod , 1991
Les processus d'acquisition de ressources technologiques externes / Carine Charpenteau ; sous la dir. de Bertrand Bellon / (s.l.) : (s.n.) , 2002
Design and process integration for microelectronic manufacturing IV : 23-24 February, 2006, San Jose, California, USA / Alfred K.K. Wong, Vivek, K. Singh, chairs/editors ; published by SPIE, the International Society for Optical Engineering ; cooperating organization, SEMATECH, Inc / Bellingham (Washington) : SPIE , cop. 2006
Etude et réalisation d'un opérateur de mise en correspondance de listes : application à l'alignement d'images / par Rosolino Lionti / , 1987
Champ de déformation induit dans un monocristal par un réseau de dimensions submicroniques : diffraction des rayons X en mode haute résolution et simulation par éléments finis / Audrey Loubens ; Olivier Thomas ; Roland Fortunier / [S.I.] : [s.n.] , 2006
Intégration monolithique de matériaux III-V et de Ge sur Si en utilisant des buffers oxydes cristallins / Jun Cheng ; sous la direction de Guillaume Saint-Girons / , 2010
Contribution à l'étude de la conversion analogique-numérique sigma-delta intégrée dans une chaîne de réception radiofréquence pour les applications cellulaires / par Yann Le Guillou ; [sous la direction de] Patrice Gamand / [S.l.] : [s.n.] , 2005
Microscale energy transport / Edited by Chang-Lin Tien, Arunava Majumdar, Franck M. Gerner / Washington (D.C.) : Taylor & Francis , 1997
Proceedings of the First International Symposium on Chemical Mechanical Planarization / editors, I. Ali, S. Raghavan / Pennington, NJ : Electrochemical Society , cop. 1997
Journal of microelectromechanical systems / New York, NY : Institute of Electrical and Electronics Engineers , 1992-
Techniques de fabrication des microsystèmes 1, Structures et microsystèmes électromécaniques en couches minces / sous la direction de Michel de Labachelerie / Paris : Hermes science publ. , DL 2004
Future trends in microelectronics : reflections on the road to nanotechnology / edited by Serge Luryi, Jimmy Xu, and Alex Zaslavsky / Dordrecht : Kluwer Academic Publishers , cop. 1996
Les "µ.e" grenobloises ou les transformations d'un territoire industriel sous l'effet de l'innovation en micro-électronique / Pierre Boisgontier ; Michel Bernardy [de Sigoyer] ; Centre d'étude des pratiques sociales de l'Université des sciences sociales de Grenoble / Grenoble : CES , 1986
Commande microélectronique d'un métier à tisser / Jean-Jacques Delorme ; [sous la dir. de G. Metzger] / [S.l.] : [s.n.] , 1984
Analyse des contraintes mecaniques et de la resistivite des interconnexions de cuivre des circuits integres : role de la microstructure et du confinement geometrique / Renaud Vayrette ; sous la direction de Karim Inal / , 2011
Propriétés thermo-mécaniques des micro-batteries / Feng Xu ; sous la direction de Jean-Yves Duquesne / Lille : Atelier national de reproduction des thèses , 2012
Computational electrodynamics : a gauge approach with applications in microelectronics / Wim Schoenmaker / Denmark : River Publishers , cop. 2017
Springer Handbook of Electronic and Photonic Materials / edited by Safa Kasap, Peter Capper / Cham : Springer International Publishing , 2017
Microelectronics technology : polymers for advanced imaging and packaging / Elsa Reichmanis [et al.], editor / Washington, DC : American Chemical Society , 1995
Characterization and modeling of advanced charge trapping non volatile memories. / Vincenzo Della marca ; sous la direction de Frédéric Lalande / , 2013
ICMTS 1998 : proceedings of the 1998 International conference on microelectronic test structures, March 23-26, 1998, Kanazawa, Japan / sponsored by the IEEE Electron devices society / Piscataway, NJ : Institute of electrical and electronics engineers
Materials issues in silicon integrated circuit processing / Symposium [on Materials Issues in Silicon Integrated Circuit Processing] held April 15-18, 1986, Palo Alto, California, U.S.A. ; ed. Marc Wittmer, James Stimmell, Michael Strathman / Pittsburgh Pa : Materials Research Society , cop. 1986
Fluctuations et courant hors-équilibre en nanophysique / Rodolphe Guyon ; sous la dir. de Thierry Martin / [S.l.] : [s.n.] , 2004
12th International workshop on thermal investigation of ICs and systems : therminic : Nice, Côte d'Azur, France, 27-29 September 2006 / Grenoble : TIMA editions , 2006
Micro et nano-antennes adaptées à la microscopie champ proche et à l'imagerie haute résolution / par Audrey Fahys / Villeurbanne : [CCSD] , 2008
Caractérisation de composants microélectroniques de test pour la technologie ULSI sur silicium / Lelia Soliman ; sous la dir. de Kaouther Ketata / , 1999
Traitements plasmas post gravure pour l'intégration des matériaux SiOCH poreux dans les interconnexions en microélectronique / Régis Bouyssou ; sous la direction d'Olivier Joubert / , 2009
Reference data for radio engineers / 6th ed. / Indianapolis : Howard W. Sams and Co. , cop. 1975
La modélisation et la simulation numérique de procédés de fabrication sur silicium : contributions et réflexions / par Vincent Senez ; sous la direction de Dominique Collard / [S.l.] : [s.n.] , 2001
Microcosme : la révolution quantique dans l'économie et la technologie / George Gilder ; préf. à l'éd. française de Wladimir Mercouroff ; trad. par Véronique Le Brun / Paris : InterÉd , 1990
Proceedings / of the 1989 International Symposium on Microelectronics, October 24-26, 1989 Baltimore Convention Center ; sponsored by the International Society for Hybrid Microelectronics / Reston, Va. : International Society for Hybrid Microelectronics , cop. 1989
Guide de l'ingénieur 1976, Semiconducteurs [1-2], Semiconducteurs / RTC, la Radiotechnique-Compelec / Paris : RTC, la Radiotechnique-Compelec , DL 1976
Mise au point de matériaux barrières diélectriques de type 1-SiC:H à faible permittivité déposés par PECVD pour réduire la capacité intermétallique dans les interconnexions avancées des circuits intégrés / Cédric Charles-Alfred ; sous la direction d'Agnès Granier ; co-encadrant Vincent Jousseaume / Lille : Atelier national de reproduction des thèses , 2009
Elaboration de masques nano poreux de polymères et gravure profonde du silicium / Alexane Vital ; sous la direction de Christophe Sinturel et de Rémi Dussart / , 2016
Méthodes de tests et de diagnostics appliquées aux mémoires non-volatiles / Jérémy Plantier ; sous la direction de Jean-Michel Portal et de Hassen Aziza / , 2012
1996 IEEE International Reliability Physics proceedings : 34th annual : Dallas, Texas : April 30,May 1, 2, 1996 / sponsored by the IEEE Electron Devices Society and the IEEE Reliability Society / Piscataway, NJ : IEEE , c1996
Polymeric materials for microelectronic applications : science and technology / Hiroshi Ito, editor, Seiichi Tagawa, editor, Kazuyuki Horie, editor / Washington, DC : American Chemical Society , 1994
Dépôt chimique de tungstène à partir de la phase gazeuse hexafluorure de tungstène-silane-hydrogène en vue d'application en connectique / Thierry Charrier ; sous la direction de A. Bouteville / Grenoble : Atelier national de reproduction des thèses , 1994
Techniques and challenges for 300 mm silicon : processing, characterization, modelling and equipment : proceedings of Symposium F on techniques.... of the E-MRS 1998 Spring Conference, Strasbourg, France, 16-19 June 1998 / ed. by H. Richter, P. Wagner, G. Ritter...[et al.] / Amsterdam : Elsevier , 1999
Electronique et mondialisation : quel avenir pour le secteur de la micro-électronique ? / Ch. Bernard et L. Vautherin / , 1995
Microelectronic design / edited by Howard Bierman / New York : Hayden book company , cop. 1966
Étude de couches minces de cuivre sur substrat YIG en vue de réaliser des composants magnétiques passifs planaires pour un fonctionnement à haute température / Bonaventure Danoumbé ; sous la direction de Jean-Jacques Rousseau / , 2017
Réalisation de jonctions pn dans le SiC-6H par implantation ionique d'aluminium = = Pn junction creation in 6H-SiC by aluminium ion implantation : / par Laurent Ottaviani ; sous la direction de Marie-Laure Locatelli / [S.l.] : [S.n.] , 1999
Nano- and microelectromechanical systems : fundamentals of nano- and microengineering / Sergey Edward Lyshevski / Boca Raton, Fla. : CRC Press , cop. 2001
Etude du potentiel des nanotubes de carbone dans la microélectronique de puissance / Thibault Labbaye ; sous la direction de Chantal Boulmer-Leborgne et de Eva Kovacevic / , 2015
Etude du phénomène de relaxation diélectrique dans les capacités Métal-Isolant-Métal / Jean-Philippe Manceau / Villeurbanne : [CCSD] , 2008
Conception et mise au point d'un procédé de réalisation de réseaux d'interconnexion pour circuits multicouches en couches épaisses à haute densité / Jean-Claude Husson / [s.l.] : [s.n.] , 1993
Silicon integration of learning algorithm and other auto-adaptive properties in a digital feedback neural network / P.Y. Alla, G. Dreyfus, J.D. Gascuel, L. Personnaz / Palaiseau : LIX , 1990
Applications of microelectronics to aerospace equipment / [director of lecture series: E. Keonjian, editor: R. C. Davy] / Maidenhead : [published for] the Advisory Group for Aerospace Research and Development of N.A.T.O. [by] Technivision Services; [London, distributed by Technical P.] , cop. 1968
Physics and applications of semiconductor microstructures / M. Jaros / Oxford [England] : Clarendon Press , 1989
Proceedings 10th European hybrid microelectronics conference : May 14-17, 1995, Copenhagen, Denmark / Copenhagen : ISHM-NORDIC , cop. 1995
Étude corrélative d'un plasma multipolaire d'oxygène et des diélectriques formés dans ce plasma sur un substrat semi-conducteur : application à la passivation du phosphure d'indium / Ahmed Bouziane ; sous la direction de Michel Remy / Grenoble : Atelier national de reproduction des thèses , 1993
Etude d'un matériau diélectrique poreux de type SiOCH : effet des post-traitements plasma et de nettoyage et intégration / Wilfried Puyrenier ; sous la direction de André Ayral, Vincent Rouessac / Montpellier : Université de Montpellier 2 Sciences et Techniques du Languedoc , 2007
Proceedings / of the 1990 International Symposium on Microelectronics, October 15-17, 1990, McCormick Place North, Chicago, Illinois ; sponsored by the International Society for Hybrid Microelectronics / Reston, VA : The Society , cop. 1990
Micro-nanoelectronics devices : modeling of diffusion and operation processes / Christian Gontrand / Londres : ISTE Press
Design of analog fuzzy logic controllers in CMOS technologies : implementation, test, and application / by Carlos Dualibe, Michel Verleysen, Paul G.A. Jespers / Boston : Kluwer Academic Publishers , cop.2003
Etude de l'interaction des faisceaux d'ions lourds de moyenne énergie avec les résines et leurs substrats : application a la lithographie ionique / Philippe David / [S.l.] : [s.n.] , 1985
Handbook of zinc oxide and related materials Volume two, devices and nano-engineering : edited by Zhe Chuan Feng / Boca Raton (Fl.) : CRC Press , cop. 2013
Développement de microcapteurs pour la mesure de dioxyde de carbone (CO2) : application au suivi de la qualité de l'air / Fabien Le Pennec ; sous la direction de Marc Bendahan et de Sandrine Bernardini / , 2022
IVMC'97 : 10th International Vacuum Microelectronics Conference : technical digest : August 17-21, 1997, Kyongju, Korea / EDIRAK, EDS / Seoul, Korea : Electronic Display Industrial Research Association of Korea , cop. 1997
Conception de dispositifs de contrôle asynchrones et distribués pour la gestion de l'énergie / Chadi Al Khatib ; sous la direction de Laurent Fesquet et de Gilles Sicard / , 2016
Rapport sur l'évolution du secteur de la micro-nanoélectronique / Office parlementaire d'évaluation des choix scientifiques et technologiques ; [rédigé] par M. Claude Saunier,... / Paris : Assemblée nationale , 2008
Technologie multifonction de transistors à effet de champ sur matériaux III-V pour logique rapide et hyperfréquences / par Hussein Fawaz ; sous la direction de J. Zimmermann / [S.l.] : [s.n.] , 1993
Champ de déformation induit dans un monocristal par un réseau de dimensions submicroniques : diffraction des rayons X en mode haute résolution et simulation par éléments finis / Audrey Loubens ; Olivier Thomas ; Roland Fortunier / Grenoble : Atelier national de reproduction des thèses , 2006
L'impression 3D polymère appliquée au packaging en microélectronique / Gabrielle Aspar ; sous la direction de Léa Di Cioccio et de Yves Bréchet / , 2019
Redistribution du bore et de l'arsenic implantés dans le silicium : apport de la sonde atomique tomographique / par Michel Ngamo Toko ; sous la direction de Kaouther Daoud [et de] Philippe Pareige / Lille : Atelier national de reproduction des thèses , 2010
MicroWind : an introduction to micro-electronics for Windows 95, version 1.0 / Etienne Sicard / Toulouse : INSA , [1998]
Optimisation de la filtration en relation avec la qualité de l'air fourni à des salles à empoussièrement contrôlé / Nathalie Lemaitre ; [sous la direction de] M. A. Renoux,.. / [S.l.] : [s.n.] , 1991
Modélisation et simulation basée sur systemC des systèmes monopuces au niveau transactionnel pour l'évaluation de performances / Rocco Le Moigne ; Jean-Paul Calvez, directeur de thèse et Olivier Pasquier, co-encadrant / Grenoble : Atelier national de reproduction des thèses , 2005
Ultramicroélectrodes / Martin Fleischmann,... Stanley Pons,... Debra R. Rolison... [et al.] / Morganton (N.C.) : Datatech systems , cop. 1987
Fabrication et étude physique de dispositifs électroniques à nanotubes de carbone / Lionel Fourdrinier ; sous la direction de Robert Baptist, Emmanuelle Rouvière et Laurent Montès / , 2009
Matériaux magnéto-diélectriques en couches minces à forte perméabilité et à forte permittivité pour les applications microondes / Kevin Garello ; sous la direction de Dominique Cros et Bernard Viala / Lille : Atelier national de reproduction des thèses , 2009
Fundamentals of microfabrication / Marc Madou / Boca Raton, Fla. : CRC Press , cop. 1997
Contribution à la réduction de l'émission parasite des micro-contrôleurs en CMOS sub-micronique / Sébastien Calvet ; [sous la direction de] Etienne Sicard / [Toulouse] : [s.n.] , 2003
3ème Symposium international sur la gravure sèche et le dépot plasma en microélectronique / organisé par la Société française du vide...[à] l'Ecole Normale Supérieure de l'Enseignement Technique, Cachan, 26 au 19 novembre 1985 / Paris : Société française du vide , 1985
Contrôle microstructural du cuivre aux dimensions nanométriques : application à la maîtrise de la résistivité des interconnexions en microélectronique / Vincent Carreau ; sous la direction de Yves Bréchet, Sylvain Maîtrejean et Marc Verdier / Lille : Atelier national de reproduction des thèses , 2008
Techniques de l'informatique et de la microélectronique pour l'architecture d'ordinateurs = = Techniques of informatics and microelectronics for computer architecture : TIMA Laboratory annual report 1999-March 2000 / B. Courtois / Grenoble : TIMA , 2000
Mikroelektronik im Dienst des Imperialismus : Tendenzen, Gefahren, Widersprüche / [Autorenkollektiv unter Leitung von Emil Rechtziegler ; Einführung, Max Schmidt] / Berlin : Dietz , 1982
Transistor balistique quantique et HEMT bas-bruit pour la cryoélectronique inférieure à 4.2 K / Emile Grémion ; [sous la direction de] Yong Jin / [s.l.] : [s.n.] , 2008
Méthodologie d'essais accélérés de torsion et de détection de défaillance appliquée aux assemblages électroniques à billes / présentée par Wilson Carlos Maia Filho ; [sous la direction de] Yves Danto et Hélène Fremont / , 2008
Conception d'un circuit vidéocodec basée sur une technique de modélisation et de synthèse VHDL / Christian Freund / [s.l.] : [s.n.] , 1993
Nanolithographie catalytique par microscopie à force atomique : étude des paramètres physico-chimiques / Vincent Mesquita ; sous la direction de Sylvain Clair / , 2016
Conception de circuits en logique ternaire : de la caractérisation au niveau transistor à la modélisation architecturale / Ékué Kinvi-Boh ; [sous la direction de] O. Sentieys / Grenoble : Atelier national de reproduction des thèses , 2006
Caractérisation électrique et optique d'hétérostructures Si/SiGe/Si pour applications aux transistors à effet de champ à canal p-SiGe à grille isolée ou non isolée = = Optical and electrical characterisation of Si/SiGe/Si heterostructures for applications on isolated or non isolated gate SiGe p-channel field effect transistors : / par Hugo Gamez Cuatzin ; sous la direction de Georges Brémond / Grenoble : Atelier national de reproduction des thèses , 1998
Circuits microélectroniques / Sedra, Smith ; [traduit par Dragos et Marius Dancila] / Louvain-la-Neuve : De Boeck supérieur , DL 2016
Conception d’un système pour l’acquisition sélective de signaux neurophysiologiques : électrode multipolaire, prétraitement analogique et circuit d’amplification / Lionel Gouyet ; sous la direction de Yves Bertrand / Montpellier : Université de Montpellier 2 Sciences et Techniques du Languedoc , 2008
Materials science and technology for design engineers / Alex E. Javitz / New York : Hayden Book Co. , cop.1972
Electronic components and technology / Stephen Sangwine / 3. ed. / Boca Raton : CRC Press , c2007
Microwave electronics / Giovanni Ghione (Politecnico di Torino), Marco Pirola (Politecnico di Torino) / Cambridge, United Kingdom : Cambridge University Press , 2018
Gallium, arsenide, microwave, bulk, and transit-time devices / [compiled by] Lester F. Eastman / Dedham, Mass. : Artech House , cop. 1972
Contribution à la commande décentralisée de systèmes complexes et mise en œuvre numérique / Hisham Abou Kandil ; [sous la direction de] M. Drouin / , 1981
Methods for protecting intellectual property of IP cores designers / Brice Colombier ; sous la direction de Lilian Bossuet / , 2017
Modèle dynamique temps-réel pour l'animation d'objets poly-articulés dans des environnements contraints : prise en compte des contacts frottants et des déformations locales : application en robotique humanoïde et aux avatars virtuels / Jean-Rémy Chardonnet / Villeurbanne : [CCSD] , 2009
Surface science in micro- and nanotechnology : proceedings of the fourth Porquerolles workshop on special topics in surface science, Île de Porquerolles, France, 27 September- 1 October, 1999 / guest editors, Margrit Hanbücken, Jacques Perrocheau / Amsterdam : North-Holland , cop. 2000
Wireless Approach for SIP and SOC Testing / Ziad Noun ; sous la direction de Marie-Lyse Flottes et de David Andreu / Lille : Atelier national de reproduction des thèses , 2010
Développement de techniques nano-sondes pour la mesure du travail de sortie et application aux matériaux en microélectronique / Khaled Kaja ; sous la direction de Guy Feuillet / , 2010
Transistor MOSFET à nanofils empilés en 3D avec possibilité de fonctionnement indépendant des grilles (ΦFET) : fabrication et propriétés de transport / Cécilia Dupré ; sous la direction de Gérard Ghibaudo et Thomas Ernst / Lille : Atelier national de reproduction des thèses , 2008
Microelectronics / Behzad Razavi / 2nd edition / Asia : John Wiley and Sons , cop. 2015
Ecrans plats : parcours electrical engineering promotion 2007, PHY573B / Yvan Bonnassieux, B. Geffroy / Palaiseau : École polytechnique , 2009
Aide à la conception de lignes microrubans à onde lente sur substrat structuré dans les bandes RF et millimétriques : applications aux coupleurs et dispositifs passifs non-réciproques / Duc Long Luong ; sous la direction de Didier Vincent et de Florence Podevin / , 2018
Contrôle microstructural du cuivre aux dimensions nanométriques : application à la maîtrise de la résistivité des interconnexions en microélectronique / Vincent Carreau ; sous la direction de Yves Bréchet, Sylvain Maîtrejean et Marc Verdier / , 2008
Microelectronics data catalog / General Instrument / [s.l.] : General Instruments , 1982
Evolution des masques en lithographie optique : étude et application des masques à transition de phase / Emilien Robert ; sous la direction de Mireille Commandre et Daniel Henry / Grenoble : Atelier national de reproduction des thèses , 2006
Etude de la fiabilité des technologies CMOS avancées, depuis la création des défauts jusqu'à la dégradation des transistors / Yoann Mamy Randriamihaja ; sous la direction de Alain Bravaix / , 2012
Simulation du comportement des capteurs de pression capacitifs microélectroniques / par Pierre-Nicolas Ratier / Villeurbanne : [CCSD] , 2008
Intégration de collecteurs de charges avancés dans les cellules solaires bifaciales à haut rendement : vers un procédé générique pour les nouveaux matériaux silicium / Maxim Hayes ; sous la direction de Olivier Palais et de Benoit Martel et de Sébastien Dubois / , 2020
Electronique informatique B10, architecture des ordinateurs et CAO microélectronique (22603) : architecture des ordinateurs / F. Anceau / Paris : CNAM/Médias , 2000
Contribution à l'évaluation de la technique de génération d'harmonique par faisceau laser pour la mesure des champs électriques dans les circuits intégrés (EFISHG) / Thomas Fernandez ; sous la direction de Dean Lewis et de Vincent Pouget / , 2009
Semiconductors for engineers / [by] D.F. Dunster,... / London : Business books , 1969, cop. 1969
Silicon nitride for microelectronic applications Part 2, Applications and devices / John T. Milek,... / New York [u.a.] : IFI-Plenum , 1972
Dispositif électronique de vissage assisté par commande numérique application à la tension d'une courroie de distribution de moteur / Patrice Limont / Aix-en-Provence : CNAM , 1991
Caractérisation photolithographique de films minces de verres du système germanium-sélénium déposés par PECVD / par Yves Brocheton / [Montpellier] : Atelier duplication [U.S.T.L.] , [1989]
Etude des résines à amplification chimique 193 nm de tonalité positive ou négative pour une application microélectronique sub65 nm / Michael May ; sous la direction du Pr. Georges Hadziioannou / , 2008
Le silicium, du sable aux puces 2, Puces microélectroniques, cellules solaires, MEMS / Alain Vignes / London : ISTE Editions
Papers / from the 39th international conference on electron, ion and photon beam technology and nanofabrication held at Scottsdale, Arizona from may 30 to june 2, 1995 ; ed. Dieter Kern / New York : American institute of physics , 1995
Physique et technologie des semiconducteurs / Francis Lévy / Lausanne : Presses polytechniques et universitaires romandes
Optimisation du procédé de dépôt électrolytique de cuivre et intégration de la métallisation cuivre en technologie d'interconnexion 0,12 mum / S. Krief / , 2000
Miniaturisierung ohne Ende ? : Entwicklungstendenzen d. physikal. Elektronik / von Günter Lautz / Mainz : Akademie der Wissenschaften und der Literatur , cop. 1979
Conception et réalisation d'un sondeur de canal multi-capteur utilisant les corrélateurs "cinq-ports" pour la mesure de propagation à l'intérieur des bâtiments / Van Yem Vu ; sous la direction de Xavier Bégaud, Bernard Huyart / Grenoble : Atelier national de reproduction des thèses , 2005
Micro-nanoelectronics devices : modeling of diffusion and operation processes / Christian Gontrand / londres : ISTE Press
Caractérisation et modélisation thermomécanique des couches d'interconnexions dans les circuits sub-microélectroniques / par Nathalie Cherault ; sous la direction de Jacques Besson et Marie-Hélène Berger / Grenoble : Atelier national de reproduction des thèses , 2006
Etude des effets parasites du transistor à haute mobilité électronique (HEMT) sur InP pour applications micro-optoélectroniques / par Christelle Aupetit-Berthelemot ; sous la direction de Jean-Michel Dumas / Grenoble : Atelier national de reproduction des thèses , 1998
Evaluation d'injection de fautes Laser et conception de contre-mesures sur une architecture à faible consommation / Nicolas Borrel ; sous la direction de Wenceslas Rahajandraibe / , 2015
Etude de la résolution en profondeur lors de l'analyse par spectrométrie de masse des ions secondaires : détermination de la fonction de résolution pour le bore dans le silicium, mise au point d'une procédure de déconvolution et applications = = Study of the depth resolution of the analysis by secondary ion mass spectrometry : determination of the depth resolution function for dilute profiles of boron in silicon, elaboration of a deconvolution procedure and applications / par Brice Gautier ; sous la direction de Jean-Claude Dupuy / [S.l.] : [S.n. ) , 1997
Contribution à l'étude des problèmes de compatibilité électromagnétique dans les systèmes micro-électroniques submicroniques / par Jean-Yves Fourniols ; sous la direction de E. Sicard / [S.l] : [s.n] , [1996]
Evolution des masques en lithographie optique : étude et application des masques à transition de phase / Emilien Robert ; sous la direction de Mireille Commandre et Daniel Henry / , 2006
Etude et caractérisation du matériau CuAI1% utilisé en tant que couche de germination pour améliorer les performances de fiabilité des interconnexions des technologies 45 nm et ultérieures / par Thomas Vanypre ; sous la direction de Jean-Claude Dupuy et Brice Gautier / [S.l.] : [s.n.] , 2008
Analysis of ultrathin gate-oxide breakdown mechanisms and applications to antifuse memories fabricated in advanced CMOS processes / Matthieu Deloge ; sous la direction de Bruno Allard / , 2011
50 ans d'une industrie : aventure industrielle et technique de la microélectronique à Grenoble / Jean-Pierre Moreau / Condé-sur-Noireau (Calvados) : Charles Corlet , DL 2009
International Workshop on Atoms and Clusters (WAC-92) : January 1992, Atami, Shizuoka, Japan
Développement de techniques nano-sondes pour la mesure du travail de sortie et application aux matériaux en microélectronique / Khaled Kaja / Villeurbanne : [CCSD] , 2010
Etude de caractérisation de matériaux diélectriques de grille à forte permittivité pour les technologies CMOS ultimes = = Characterisation of high permittivity gate dielectric materials for ultimate CMOS technology : / par Youjean Chang ; sous la dir. de Frédérique Ducroquet / [S.l.] : [s.n.] , 2003
Réalisation d'une caméra infrarouge à bas coût pour application grand public / Charles Sion ; sous la direction de Didier Leclercq et de Pascale Godts-Poubelle / , 2011
Nano-électronique et informatique / Claude Weisbuch, dir. scientifique ; ; Prod. : Association "L'université de tous les savoirs - la suite" / Vanves : Service du Film de Recherche Scientifique , [2000]
Limites de l'intégration des masques de gravure et d'un matériau diélectrique hybride pour la fabrication des interconnexions en microélectronique / Julien Ducoté / Villeurbanne : [CCSD] , 2012
Materials reliability in microelectronics II : symposium held April 27-May 1, 1992, San Francisco, California, U.S.A / ed. C.V. Thompson, J.R. Lloyd / Pittsburgh (Pa) : Materials Research Society , cop. 1992
How do Mechanics and Thermo mechanics affect microelectronic products [Ressource électronique] : some residual stress and strain effects, investigations and industrial management = = Impacts mécaniques et thermomécaniques dans les produits de la microélectronique : effets des contraintes résiduelles et déformations, recherches et développements de méthodes numériques dans un cadre industriel : / par Vincent Fiori ; sous la direction de Alain Combescure / Villeurbanne : Doc'INSA , 2011
Technologie FeRAM [Ressource électronique] : fiabilité et mécanismes de défaillance de condensateurs ferroélectriques et intégrés / Nicolas Menou ; sous la direction de Christophe Muller / [S.l.] : [s.n.] , 2004
Etude des propriétés et de l'intégration de barrières auto-positionnées sur cuivre formées par des procédés de siliciuration et de dépôts auto-catalytiques dans les interconnexions des circuits intégrés microélectroniques des générations 45 nm = = Properties and integration of self-aligned barriers on copper formed by silicidation and electroless deposition processes within microelectronic integrated circuit interconnects of 45nm technology nodes : / par Sonarith Chhun ; sous la direction de Jean-Claude Dupuy [et] Laurent Gosset / [S.l.] : [s.n.] , 2006
Microsystem engineering of lab-on-a-chip devices / Oliver Geschke, Henning Klank, Pieter Telleman / Weinheim : Wiley-VCH , cop. 2004
Étude par microscopie à force atomique en trois dimensions de l’évolution de la rugosité de bord de ligne lors de la fabrication d’une grille de transistor MOS / Jérôme Thiault / Villeurbanne : [CCSD] , 2008
Reference data for radio engineers / International telephone and telegraph corporation / 4th ed., 10th printing / New York : International telephone and telegraph corporation , 1964
Ultra low power capacitive sensor interfaces / Wouter Bracke, Robert Puers, Chris Van Hoof / Dordrecht : Springer
Proceedings / European hybrid microelectronics conference, 1981, Avignon, France, May 20, 21 22 ; International society for hybrid microelectronics, Europe / Dieppe : SITECMO , 1981
On-chip instrumentation : design and debug for systems on chip / Neal Stollon / New York : Springer
Test and characterization methodologies for advanced technology nodes / Darayus Adil Patel ; sous la direction de Patrick Girard / , 2016
Validation de systèmes sur puce complexes du niveau transactionnel au niveau transfert de registres / Zeineb Belhadj Amor ; sous la direction de Dominique Borrione et de Laurence Pierre / , 2014
Design with PIC microcontrollers / John B. Peatman / Upper Saddle River, NJ : Prentice-Hall , cop. 1998
Collection of papers presented at the 6th International workshop on thermal investigations of ICs and systems : THERMINIC, Budapest, Hungary, 24-27 september 2000 / [organized by TIMA Laboratory, Grenoble ; Budapest University of technology & economics, Department of electron devices] / [Grenoble] : [TIMA] , cop. 2000
Conception, réalisation et test d'un capteur électronique Si-LiF-Si destiné à la spectrométrie et à la dosimétrie des neutrons / par Aminata Ndoye Gueye ; sous la direction de Jean-Louis Decossas et de Jean-Claude Vareille / [S.l.] : [s.n.] , 1998
ESL design and verification : a prescription for electronic system-level methodology / Brian Bailey, Grant Martin, Andrew Piziali / Amsterdam : Morgan Kaufmann , cop. 2007
Analyse des circuits intégrés par laser en mode sonde / Mohamed Mehdi Rebaï ; sous la direction de Dean Lewis et de Frédéric Darracq / , 2014
Study and development of energy harvesters based on triboelectric nanogenerators for autonomous iot nodes and smart systems / Aravindnarain Ravichandran ; sous la direction de Sylvain Blayac / , 2021
Thin film microelectronics : the preparation and properties of components and circuit arrays / edited by L. Holland / London : Chapman and Hall , cop. 1965
Dictionnaire Cégos : définitions du vocabulaire micro-informatique et micro-électronique : avec lexique anglais-français / [réd. par] Christiane Morvan / Nouv. éd / Paris : Cedic-Nathan , 1987
Nanotechnologies / Michel Wautelet ... [et al.] ; preface by Jean-Marie Lehn ; translated from French by Aboubacar Chaehoi / 2nd ed. / London, U.K. : Institution of Engineering and Technology
Thermométrie submicrométrique par fluorescence : caractérisation de micro et nanostructures en milieux sec et liquide / Peter Löw ; sous la direction de Christian Bergaud / Lille : Atelier national de reproduction des thèses , 2008
Analyse ultime par Spectrométrie de Masses des Ions Secondaires des matériaux de la microélectronique avancée : contribution à l'interprétation des profils de bore dans le silicium = = Ultimate secondary ion mass spectrometry of advances microelectronics' materials : contribution to the interpretation of boron profiles in silicon / par Nicolas Baboux ; sous la direction de Jean-Claude Dupuy / Grenoble : Atelier national de reproduction des thèses , 2001
Electronic packaging and interconnection handbook / editor-in-chief Charles A. Harper, ... / 2d edition / New York [etc.] : McGraw-Hill
Microelectronics and microsystems[Texte imprimé] : emergent design techniques / Luigi Fortuna, Giuseppe Ferla and Antonio Imbruglia (eds.) / London : Springer , cop. 2002
Etude des transistors à effet de champ organiques : réalisation d'OFETs ambipolaires et étude des mécanismes d'injection dans les OFETs verticaux / Marjorie Morvan ; sous la direction de Georges Zissis / Toulouse : Université Paul Sabatier , 2020
Une méthode analytique pour l’évaluation de performance des réseaux sur puce / Sahar Foroutan ; sous la direction de Ahmed Amine Jerraya ; Yvain Thonnart, Richard Hersemeule co-encadrants / , 2010
Caractérisation, modélisation et fiabilité des diélectriques de grille à base de HfO2 pour les futures technologies CMOS [Ressource électronique] = = Electrical characterization, modeling and reliability of HfO2 based gate oxide for future CMOS technologies : / par Théodore Nguyen ; sous la direction de Carole Plossu et de Liviu Militaru / Villeurbanne : Doc'INSA , 2009
Contribution à l'évaluation de la fiabilité des systèmes micro-électroniques / par Robert Picault / Noisy-le-Grand : Ecole supérieure d'ingénieurs en électrotechnique et életronique , 1991
Silicon processing for the VLSI era volume 2, process integration / Stanley Wolf Ph.D.,... / Sunset Beach (Calif.) : Lattice Press , cop. 1990
Développement et caractérisation d’un ASIC de lecture de macro-cellule de photo-détecteurs de grande dimension / Selma Conforti di Lorenzo / Villeurbanne : [CCSD] , 2010
Influence of temperature on microelectronics and system reliability / Pradeep Lall, Michael G. Pecht, Edward B. Hakim / Boca Raton : CRC Press , c1997
Conception et réalisation d'un microsystème pour la mesure d'encrassement organique, minéral et biologique dans les procédés : intégration des régimes thermiques périodiques / Jonathan Crattelet ; sous la direction de Luc Fillaudeau / [S. l]. : [S. n.] , 2010
Circuits intégrés VLSI, annales, examens : parcours electrical engineering promotion 2007, PHY559 / Yvan Bonnassieux, Gérard Fontaine, Alain Greiner / Palaiseau : École polytechnique , 2009
Micro et nano-antennes adaptées à la microscopie champ proche et à l'imagerie haute résolution / par Audrey Fahys ; sous la direction de Daniel Courjon / [S.l.] : [s.n.] , 2007
Guide des microtechnologies et des microsystèmes : Qui fait quoi dans le domaine des microsystèmes et des microtechnologies en France ? / Association pour le développement des microtechnologies et l'intégration des systèmes / [2ème éd] . / Grenoble : ADEMIS , 2000
Nanoscience : nanobiotechnology and nanobiology : with 628 Figures and 40 tables / P. Boisseau, P. Houdy, M. Lahmani (Eds.) / Berlin : Springer , cop. 2010
Circuits intégrés VLSI, annales, examens : promotion 2005, année 3, majeure 1, PHY568 / Yvan Bonnassieux, Gérard Fontaine, Alain Greiner / Palaiseau : École polytechnique , 2007
Long-range transfer of spin information using individual electrons / Benoit Bertrand ; sous la direction de Tristan Meunier / , 2015
Etude de la dynamique de charges par microscopie à force électrostatique : exemple des isolants à grande constante diélectrique / Jérôme Lambert ; sous la direction de Michel Saint-Jean / [S.l.] : [s.n.] , 2003
Verres du système germanium-sélénium, matériaux pour la lithographie submicronique : étude structurale par spectroscopie d'absorption X (EXAFS) : (Extended X-ray Absorption Fine Structure) / par Chantal Peyroutou / [Montpellier] : Atelier duplication [U.S.T.L.] , [1988]
Etude du remplissage de tranchées profondes par du silicium polycristallin / par Fabien Pierre ; sous la dir. de Olivier Bonnaud / [S.l.] : [s.n.] , 2002
Proceedings of the 1977 International Microelectronics Symposium : Oct. 24-26, 1977 Baltimore, Md / International Microelectronics Symposium / Montgomery, Ala. : International Society for Hybrid Microelectronics. , 1977
Circuit-level approaches to mitigate the process variability and soft errors in FinFET logic cells / Alexandra Lackmann-Zimpeck ; sous la direction de Laurent Artola et de Ricardo Reis / , 2019
Polymers in microelectronics : fundamentals and applications / David S. Soane and Zoya Martynenko / Amsterdam : Elsevier , 1989
Microelectronics to nanoelectronics : materials, devices & manufacturability / editor by Anupama B. Kaul / Boca Raton (Fla.) : CRC Press , cop. 2013
Microwave Electronics / by Andrey D. Grigoriev ; Vyacheslav A. Ivanov, Sergey I. Molokovsky / 1st ed. 2018. / Cham : Springer International Publishing , 2018
Les procédés microlithographiques par voie sèche / par Sylvie Pillon / [S.l : sn.] , [1985]
Etude et modélisation de la précipitation de particules de silicium dans des couches de silice nanométriques / par Manuel Roussel ; sous la direction de Philippe Pareige / [S. l.] : [s. n.] , 2012
The 2nd IEEE(G-PHP)/ISHM University/Industry/Government Microelectronics Symposium : the University of New Mexico, Albuquerque, New Mexico, January 3, 4, 5, 1977 / University/Industry/Government Microelectronics Symposium / Albuquerque, N.M. : The University of New Mexico College of Engineering, Bureau of Engineering Research , [1977?]
Chemical mechanical planarization VI : proceedings of the international symposium / editors, S. Seal ..., R. L. Opila,..., K. B. Sundaram, ... [et al.] / Pennington, NJ : Electrochemical Society , c2003
Micro electro mechanical systems : an investigation of micro structures, sensors, actuators, machines and systems : proceedings / Sixth IEEE workshop on ..., MEMS'93, Fort Lauderdale, Florida, February 7-10, 1993 ; sp by the IEEE Robotics and automation society in coop. with the ASME dynamic systems and control division / Piscataway, NJ : IEEE
Floorlamm : un générateur automatique de planchers de circuits intégrés VLSI / par Pierre Perdaems / [Montpellier] : Atelier duplication U.S.T.L , [1985]
Mesure et modélisation du bruit de fond électrique basse fréquence dans les transistors intégrés MOS pour l'exploration des pièges et des défauts dans les technologies SOI récentes / par Isabelle Lartigau ; [sous la direction de] Régis Carin / [S.l.] : [s.n.] , 2004
Elaboration d'une méthode de prédiction du taux d'aléas logiques induits dans les mémoires SRAM par les neutrons atmosphériques / Guillaume Hubert ; [sous la direction de] Jean Marie Palau / Grenoble : Atelier national de reproduction des thèses , 2002
Localisation de défauts par stimulation thermique laser modulée en intensité : développement et application à la direction de phase / Antoine Reverdy ; [sous la direction de] Hugues Murray / Lille : Atelier national de reproduction des thèses , 2008
MNE 2005 : 31st International Conference on Micro and Nano-Engineering 2005, 19-22 september 2005, Vienna, Austria : abstracts / [sous la dir. de] Hans Loeschner / [Lieu de publication inconnu] : [éditeur inconnu] , [2005 ?]
Conception faible puissance : définition d'un macro-modèle de puissance interne dans les structures CMOS submicroniques / Sandra Turgis / [S.l.] : [s.n.] , [1996]
Digest of technical papers / ESSCIRC 80 (6th European solid state circuits conference), 22-25 September 1980, Grenoble, Université scientifique et médicale... / Grenoble : E. Mackowiak , 1980
Vocabulaire de la fabrication des circuits intégrés : anglais-français, français-anglais / Bao Pham / Montréal : IBM Canada , DL 1989
Forces capillaires : une solution d'avenir pour les microsystèmes / Jean Berthier ; sous la direction de Christian Frétigny / Lille : Atelier national de reproduction des thèses , 2011
The materials science of microelectronics / Klaus J. Bachmann / New York : VCH , cop. 1995
Study and design of integrated laser diode driver for 3D-depth sensing applications / Romain David ; sous la direction de Charles Joubert et de Bruno Allard / , 2021
Microfabrication and nanomanufacturing / edited by Mark J. Jackson / Boca Raton, FL : CRC/Taylor & Francis , 2006, cop.2006
Materials reliability in microelectronics III : symposium held April 12-15, 1993, San Francisco, California, U.S.A / ed. Kenneth P. Rodbell... [et al.] / Pittsburgh (Pa) : Materials Research Society , cop. 1993
Définition d'une représentation intermédiaire basée sur une approche service pour le prototypage virtuel de systèmes sur puce / Alexandre Chureau ; sous la direction de Ahmed Amine Jerraya et Frédéric Pétrot / Lille : Atelier national de reproduction des thèses , 2008
Approche polymorphe de la modélisation électrothermique pour la fiabilisation des dispositifs microélectroniques de puissance / Toufik Azoui ; sous la direction de Jean-Marie Dorkel et de Patrick Tounsi / , 2013
Conception des microsystèmes sur silicium / sous la direction de Salvador Mir / Paris : Hermès Science Publ. , DL 2002
Micropower electronics / ed. by Edward Keonjian, ... / Oxford : Published for and on behalf of Advisory Group for Aeronautical Research and Development, North Atlantic Treaty Organization by Pergamon Press , 1964
Nanoelectronics and information technology : advanced electronic materials and novel devices / Rainer Waser (Ed.) / Weinheim : Wiley-VCH
Microelectronic manufacturing and testing / Libertyville, Ill : Lake Pub. Corp , 1978-1990
Intégration du copolymères P(VDF-TrFE) à une nouvelle technologie de capteurs pyroélectriques : application à la détection d'empreintes digitales / Claire Vacher ; sous la direction de P. Papet / Montpellier : Université de Montpellier 2 Sciences et Techniques du Languedoc , 2007
A FORTRAN program for analysis of data from microelectronic test structures / Richard L. Mattis / Washington, DC : U.S. Dept. of Commerce, National Bureau of Standards , 1983
Mise au point et optimisation d'étapes de gravure par plasma dans la fabrication de procédés BiCMOS haute fréquence / Jérôme Lenormand ; [sous la direction de] Bernard Mercey / Grenoble : Atelier national de reproduction des thèses , 2001
Integrated microelectronic devices : physics and modeling / J. A . del Alamo, Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science / Première édition. / NY, NY : Pearson , [2018]
Proceedings of the First International Symposium on Electrochemical Microfabrication / edited by Madhav Datta, Keith Sheppard, Dexter Snyder ; [sponsored by the] Electrodeposition Division / Pennington, NJ : Electrochemical Society , cop. 1992
Circuits intégrés VLSI, annales, examens : parcours electrical engineering promotion 2006, PHY559 / Yvan Bonnassieux, Gérard Fontaine, Alain Greiner / Palaiseau : École polytechnique , 2008
1995 20th International Conference on Microelectronics : proceedings : 12-14 September 1995, Niš, Serbia / organized by Yugoslavia IEEE Section - Electron Devices Chapter under technical co-sponsorship of the IEEE Electron Devices Society / New York : Institute of Electrical and Electronics Engineers , cop. 1995
Électronique logique & numérique : mes premiers pas ! / Burkhard Kainka, Lars Gollub ; traduction, Hervé Moreau / [Roissy] : "Elektor"-Publitronic , impr. 2010, cop. 2010
Nouvelle méthodologie hybride pour la mesure de rugosités sub-nanométriques / Jérôme Reche ; sous la direction de Cécile Gourgon et de Patrice Gergaud / , 2019
The Socio-economic impact of microelectronics / edited by J. Berting, S. C. Mills and H. Wintersberger / 1st ed. / Oxford : Pergamon Press , 1980
Caractérisation électrique de l'endommagement par électromigration des interconnexions en cuivre pour les technologies avancées de la microélectronique / Lise Doyen ; sous la direction de Yves Wouters et Lucile Arnaud / , 2009
Circuit design for integrated electronics / [by] Hans R. Camenzind,... / Reading, Mass. : Addison-Wesley PUb. Co. , [1968]
Synopses of microelectronics projects / Directorate general XIII-Telecommunications, Information Industries, and Innovation, Commission of the European communities ; Esprit, European strategic programme for research and development in information technology / Brussels : Commission of the European Communities , c1990
Couches conductrices par voie organométallique pour les dispositifs 3D en microélectronique / Jérémy Cure ; sous la direction de Bruno Chaudret et de Pierre Fau / Toulouse : Université Paul Sabatier , 2015
Elaboration, caractérisation et nouvelle architecture de matériaux composites Al/plaquettes de carbone pour des applications thermiques / Zhengyan Shen ; sous la direction de Jean-François Silvain et de Gang Ji / , 2020
High-level test synthesis of digital VLSI circuits / Mike Tien-Chien Lee / Boston : Artech House , cop. 1997
Elaboration de couches épaisses piézoélectriques déposées sur substrats pour des applications microtechniques = = Elaboration of piezoelectric thick films deposed on substrat for microtechnique application : / par Sarah Le Dren ; sous la direction de Paul Gonnard et Alain Nicolas / Grenoble : Atelier national de reproduction des thèses , 2000
Développement d'une méthodologie de conception matériel à base de modules génériques VHDL/VHDL-AMS en vue d'une intégration de systèmes de commande électriques / Youssef Kebbati ; sous la dir. de Francis Braun / [S.l.] : [s.n.] , 2002
Integrating reliability into microelectronics manufacturing / Aris Christou / Chichester [etc.] : J. Wiley , 1994
Photon processing in microelectronics and photonics V : 23-26 January 2006, San Jose, California, USA / Tatsuo Okada, Craig B. Arnold, Michel Meunier... [et al.], chairs/editors ; sponsored and published by SPIE, the International Society for Optical Engineering / Bellingham (Wash.) : SPIE , 2006
Méthodologie de diagnostic et techniques de test pour les mémoires non volatiles de type EEPROM / par Hassen Aziza ; sous la dir. d'Annie Perez / , 2004
Etude du piégeage de l'hydrogène implanté et application au transfert de couches fines de silicium / Aurélie Royal ; sous la direction de Alain Claverie et de Frédéric Mazen / Toulouse : Université de Toulouse 3 , 2017
Complexity issues in VLSI : optimal layouts for the shuffle-exchange graph and other networks / Frank Thomson Leighton / Cambridge, Mass. : MIT Press
L'effet d'alliage dans les siliciures : mécanisme fondamentaux de croissance et stabilité. Applications à la microélectronique : Rapport de synthèse présenté pour obtenir une habilitation à diriger des recherche / Dominique Mangelinck / [S.l.] : [S.n.] , [2004]
Études des contraintes mécaniques dans les édifices d'interconnexions d'un procédé submicronique / par Philippe Le Duc ; sous la direction de Dominique Collard / Villeneuve d'Ascq : Université Lille 1 - Sciences et technologies , 2013
Silicapolis : l'univers de la microélectronique / STUniversity, prod ; CCSTI, coprod. / Version 1.3 / Grenoble : CCSTI
Angle resolved Mueller polarimetry, applications to periodic structures / par Clément Fallet ; sous la direction de Antonello de Martino / Lille : Atelier national de reproduction des thèses , 2011
Conception et réalisation de bio-capteurs électromagnétiques pour l'analyse cellulaire aux fréquences micro-ondes / Claire Dalmay ; sous la direction d'Arnaud Pothier et Pierre Blondy / Lille : Atelier national de reproduction des thèses , 2009
Contributuion à l'élaboration d'un oscillateur ultra-stable spatial miniature: études et réduction de la sensibilité thermique / Luc Schneller ; sous la direction de Serge Galliou ; sous la direction de Serge Galliou / [S.l.] : [s.n.] , 2009
La micro-nanoélectronique : enjeux et mutations / sous la direction de Jean-Luc Leray, Jean-Claude Boudenot et Jacques Gautier / Paris : CNRS éd. , 2009
Préparation de surface avant épitaxie et réalisation de dépôts de Si et Si1-xGex pour applications dans les technologies CMOS avancées / Thomas Kormann ; [sous la direction de] Bernadette Domenges et Bernard Mercey / Lille : Atelier national de reproduction des thèses , 2011
Microelectronic circuits : analysis and design / Muhammad H. Rashid / 3rd edition / Boston, MA : Cengage Learning , cop. 2016
Nano- and Micro-Electromechanical systems : fundamentals of nano- and microengineering / Sergey Edward Lyshevski / 2e edition / Boca Raton, Fla. : CRC Press , cop. 2005
31st Electronic Components Conference : Colony Square Hotel, Atlanta, GA, May 11-13, 1981 / Electronic Components Conference / New York : Institute of Electrical and Electronics Engineers , cop. 1981
Chemical-mechanical planarization : symposium held April 22-24, 2003, San Francisco, California, U.S.A / ed. [by] Duane S. Boning,... [et al.] / Warrendale, PA : Materials Research Society , cop. 2003
Préparation de surface avant épitaxie et réalisation de dépôts de Si et Si1-xGex pour applications dans les technologies CMOS avancées / Thomas Kormann ; [sous la direction de] Bernadette Domenges et Bernard Mercey / [S.l.] : [s.n] , 2011
Mise au point d'un automate por photorépéteur / Didier Doré / Paris : CNAM , 1997
Invention of integrated circuits : Untold important facts / Arjun N Saxena,... / Singapore : World Scientific , cop. 2009
III - V microelectronics / edited by J.P. Nougier,... / Amsterdam : North-Holland , cop.1991
Fabrication de nanojonctions tunnel coplanaires pour l'addressage électrique d'une molécule / par Serge Jean-Louis Itoua / [S.l.] : [s.n.] , [1995]
ICMTS 1991 : proceedings of the 1991 International Conference on Microelectronic Test Structures, March 18-20, 1991, Kyoto, Japan / New York : Institute of Electrical and Electronics Engineers , cop. 1991
Optical communications 1, Advanced technologies for photonics 1, Nouvelles technologies photoniques = = Communications optiques : / sous la dir. de Yves Jaoue͏̈n et Michel Joindot / Paris : GET , 2003
Test de mémoires SRAM à faible consommation / Leonardo Henrique Bonet Zordan ; sous la direction de Patrick Girard et de Serge Pravossoudovitch / , 2013
Nouveaux conducteurs moléculaires dérivés de complexes de coordination / par Brigitte Pomarede ; sous la direction de Jean-Pierre Legros / [S.l] : [s.n] , [1992]
ICMTS 1996 : 1996 International Conference on Microelectronic Test Structures, March 25-28, 1996, Trento, Italy / sponsored by the IEEE Electron Devices Society / Piscataway, NJ : IEEE Service Center , cop. 1996
Définition et mise en oeuvre d'une qualification front-end analogique IrDA et analyse de défaillance / Benoît Gorsse / [S.l.] : [s.n.] , 2007
Microelectronic processing laboratory at NBS / T. F. Leedy, Y. M. Liu / Washington : .U.S. Dept. of Commerce, National Bureau of Standards : for sale by the Supt. of Docs., U.S. Govt. Print. Off. , 1978
Synthèses et études des résines microlithographiques renfermant des unités tétrathiafulvalènes sensibles aux U.V. et aux électrons / par Mungroo Aumduth / [Montpellier] : Atelier duplication U.S.T.L , [1986]
1997 IEEE International SOI Conference : proceedings, October 6-9, 1997, Tenaya Lodge at Yosemite Fish Camp, California / sponsored by the IEEE Electron Devices Society / [New York, N.Y.] : Institute of Electrical and Electronics Engineers , cop. 1997
1997 IEEE International Conference on Microelectronic Test Structures proceedings : March 17-20, 1997, Monterey, California / sponsored by the IEEE Electron Devices Society / Piscataway, NJ : IEEE Service Center , cop. 1997
Analysis of microelectronic materials and devices / edited by M. Grasserbauer and H.W. Werner / [Reprinted]. / Chichester : J. Wiley & sons , 1996, cop. 1991
Etude de la gravure des contacts en présence d'un double masque pour les nœuds technologiques avancés / Mokrane Mebarki ; sous la direction de Olivier Pierre Etienne Joubert et de Maxime Darnon et de Nicolas Possémé / , 2016
Etude, développement et validation d'un concept d'architecture électronique sans temps mort pour TEP de haute sensibilité / Pierre-Etienne Vert ; sous la direction de Jacques Lecoq / Lille : Atelier national de reproduction des thèses , 2007
Conception et réalisation d'une matrice de microéjecteur thermique adressable individuellement pour la fonctionnalisation de biopuce / rédigé par David Jugieu ; sous la direction de Anne-Marie Gué / Grenoble : Atelier national de reproduction des thèses , 2005
Matériaux magnéto-diélectriques en couches minces à forte perméabilité et à forte permittivité pour les applications microondes [Ressource électronique] / Kevin Garello ; sous la direction de Dominique Cros et Bernard Viala / Limoges : S.C.D. de l'Université , 2009
Le frittage des poudres submicroniques du composé Ag3Sn, une alternative au brasage par fusion : synthèse de la phase en milieu polyol et premiers essais / Pauline Canaud ; sous la direction de Fadila Maroteaux et de Noureddine Jouini / Versailles : Université de Versailles-Saint-Quentin-en-Yvelines , 2017
Proceeding EMPC 2013 [Ressource électronique] : September 9th to 12th 2013, Grenoble, France / [organised by] IMAPS Europe ; IEEE ; CPMT / [Versailles] : IMAPS Europe , [DL 2015]
Heterogeneous Memory Organizations in Embedded Systems : Placement of Dynamic Data Objects / by Miguel Peón Quirós ; Francky Catthoor, José Manuel Mendías Cuadros / Cham : Springer International Publishing
Formation et caractérisation de jonctions PN dans du SiC-4H par implantation ionique et recuit laser / Christian Dutto ; sous la direction de Eric Fogarassy et Daniel Mathiot / Grenoble : Atelier national de reproduction des thèses , 2003
Microelectronic circuits / Adel S. Sedra,... Kenneth C. Smith,... / 5th ed. / New York : Oxford University Press , 2004
Etude des défauts induits par recuit laser excimère dans le silicium / Richard Monflier ; sous la direction de Filadelfo Cristiano et de Elena Bedel-Pereira / , 2019
Effets transitoires de film flottant pour des applications mémoires dans le cadre de transistors MOS en technologie silicium-sur-isolant complètement désertée / Maryline Bawedin ; sous la direction de Denis Flandre et de Sorin Cristoloveanu / , 2007
Micro- and nanopatterning polymers / Hiroshi Ito, editor ... [et al.] / Washington, DC : American Chemical Society , c1998
Fundamentals of microelectronics / Behzad Razavi,... / Hoboken (N.J.) : J. Wiley & Sons
Mechanical behaviour of micro- and nano-scale systems : special issue section : proceedings of symposium E, 3rd International conference on materials for advanced technologies (ICMAT 2005) and IUMRS 9th International conference on advanced materials (ICAM 2005) 3-8 july, 2005 Suntec City International conference on exhibition centre Singapore / Guest editors K. Zeng... [et al.]
Étude d'un système planaire de gravure de couches minces par plasma réactif pour la fabrication de microcircuits / Philippe Laporte / [Lieu de publication inconnu] : [Éditeur inconnu] , [1980]
Diagnostic des équipements de production de semi-conducteurs par analyse statistique / Julien Marino ; sous la direction de Mustapha Ouladsine et de Francesco Rossi et de Jacques Pinaton / , 2017
Etude et réalisation de transistors à nanotubes de carbone pour la détection sélective de gaz / par Louis Gorintin ; sous la direction de Marc Chatelet / [S.l.] : [s.n.] , 2011
Réalisation d'interconnexions de faible résistivité à base de nanotubes de carbone biparois pour la microélectronique [Ressource électronique] / Florent Seichepine ; directeur de thèse, Christophe Vieu et Emanuel Flahaut / Toulouse : Université Paul Sabatier, Toulouse 3 , 2012
Nitruration sous ammoniac de couches minces de W déposées sur Si : caractérisations physicochimiques et électriques / Mohamed Benyahya ; sous la direction de Alain Deneuville / Grenoble : Atelier national de reproduction des thèses , 1989
PVD for microelectronics : sputter deposition applied to semiconductor manufacturing / Ronald A. Powell,... Stephen M. Rossnagel,... / San Diego : Academic Press
Guide des microtechnologies et des microsystèmes : Qui fait quoi dans le domaine des microsystèmes et des microtechnologies en France ? / Association pour le développement des microtechnologies et l'intégration des systèmes / 1ère éd (Mai 1997). / Grenoble : ADEMIS , 1997
Développement de techniques nano-sondes pour la mesure du travail de sortie et application aux matériaux en microélectronique / Khaled Kaja ; sous la direction de Guy Feuillet / Lille : Atelier national de reproduction des thèses , 2010
Peignes de fréquences optiques par effet Kerr dans les micro et mini résonateurs optiques / Napoléon Gutierrez ; sous la direction de Olivier Llopis et de Arnaud Fernandez / , 2019
Networks on chip / edited by Axel Jantsch and Hannu Tenhunen / Boston : Kluwer Academic Publishers , 2003
Nanopackaging : nanotechnologies and electronics packaging / James E. Morris, editor / New York (N. Y.) : Springer , cop. 2008
Exploration of dynamic refiguration solutions for improved reliability in DC microgrids / Francesco Di gregorio ; sous la direction de Gilles Sassatelli et de Abdoulaye Gamatié / , 2021
ICM ' 92 : Proceedings of the International Conference on Microelectronics, Monastir December 19-20-21, 1992 / Faculté des Sciences de Monastir et Ecole Nationale d'Ingénieurs de Monastir / Monastir, TU : [éditeur inconnu] , 1992
Redistribution du bore et de l'arsenic implantés dans le silicium : apport de la sonde atomique tomographique / par Michel Ngamo Toko ; sous la direction de Kaouther Daoud [et de] Philippe Pareige / [S.l.] : [s.n.] , 2010
Conception d’un système pour l’acquisition sélective de signaux neurophysiologiques : électrode multipolaire, prétraitement analogique et circuit d’amplification / Lionel Gouyet / Villeurbanne : [CCSD] , 2009
Etude numérique et expérimentale des phénomènes de fissuration dans les architectures avancées de la microélectronique = = Experimental and Numerical Investigations of Fracture Phenomena in advanced interconnects : / par Sébastien Gallois-Garreignot ; sous la direction de Daniel Nelias / , 2019
Optimisation des jonctions de dispositifs (FDSOI, TriGate) fabriqués à faible température pour l'intégration 3D séquentielle / Luca Pasini ; sous la direction de Gérard Ghibaudo et de Perrine Batude et de Mikaël Cassé / , 2016
Intégration sur silicium des capteurs et des fonctions de traitement de signal généré par des rayonnements nucléaires [Ressource électronique] : application à la mesure du radon / Ragheb Hijazi ; sous la direction de Bruno Barelaud / Limoges : S.C.D. de l'Université , 2013
Majecstic'2005 : manifestation des jeunes chercheurs en sciences et technologies de l'information et de la communication, 16, 17 et 18 novembre 2005 : conférence / organisée par des doctorants de l'Institut de recherche en informatique et systèmes aléatoires (IRISA), de l'Institut de d'électronique et de télécommunications de Rennes (IETR) et du Laboratoire traitement du signal et de l'image -LTSI) ; éd. par Sylvie Saget et Alexandre Vautier / 3ème éd. / Rennes : Université de Rennes 1 , 2005
Conception en vue du Test des Circuits Intégrés 3D à base de TSVs / Yassine Fkih ; sous la direction de Bruno Rouzeyre / , 2014
Etude à l'échelle nanométrique par sonde locale de la fiabilité et de la dégradation de films minces d'oxyde pour applications MOS et MIM / Romain Foissac ; sous la direction de Franck Bassani et de Serge Blonkowski et de Martin Kogelschatz / , 2015
ESSCIRC '2000 : proceedings of the 26th European Solid-State Circuits Conference, Stockholm, Sweden, 19-21 September 2000 / edited by K. Halonen, H. Tenhunen, H. Grünbacher / [Lieu de publication inconnu] : Frontier Group
Handbook of thick film technology / [edited by P.J. Holmes and R.G. Loasby] / Ayr : Electrochemical Publications , cop. 1976
Communications présentées au Colloque international sur la microélectronique avancée : Paris, 6-10 avril 1970 / Paris : Chiron , 1970
Semiconductor measurment technology : microelectronic test pattern NBS-4 / United States Department of Commerce, National Bureau of Standards, W. Robert Thurber and Martin G. Buehler / Washington (D.C.) : U.S. Government printing office , 1978
Decision diagram techniques for micro- and nanoelectronic design handbook / Boca Raton, Fla. : CRC Press, Taylor & Francis , 2006
Guide de l'ingénieur 1975-76, Microélectronique 2, Microélectronique / RTC, la Radiotechnique-Compelec / Paris : RTC, la Radiotechnique-Compelec , [1975?]
Majeure de physique : Éléments de microélectronique / Claude Weisbuch / Palaiseau : École polytechnique , 1994
Méthodes socio-statistiques pour l'aide à la décision en milieu industriel : Application à la gestion des capacités d'un système d'information en industrie micro-électronique / Michel Lutz ; sous la direction de Xavier Boucher / , 2013
Microelectronics / Charles L. Alley, Kenneth W. Atwood / Englewood Cliffs : Prentice-Hall International , c1986
Binding and scattering in two-dimensional systems : applications to quantum wires, waveguides, and photonic crystals / J. Timothy Londergan, John P. Carini, David P. Murdock / New York : Springer , cop. 1999
Handbook of Thermal Science and Engineering / by Francis A. Kulacki ; Sumanta Acharya, Yaroslav Chudnovsky, Renato Machado Cotta, ... [et al.] / 1st ed. 2018. / Cham : Springer International Publishing , 2018
Principes et fonctions de l'électronique intégrée Tome 3, Semi-conducteurs composés, structures et systèmes intégrés / Jacques Boucher,... Jean Simonne,... / Toulouse : Cépaduès , DL 1994, cop. 1994
Etude et réalisation de transistors à nanotubes de carbone pour la détection sélective de gaz [Ressource électronique] / par Louis Gorintin ; sous la direction de Marc Chatelet / Villeurbanne : TEL , 2012
Les procédés par plasmas impliqués dans l'intégration des matériaux sioch poreux pour les interconnexions en microélectronique / Maxime Darnon / Villeurbanne : [CCSD] , 2007
Verres conducteurs ioniques et conducteurs mixtes : leurs utilisations dans des microcomposants ioniques / par Robert Creus / Grenoble : Atelier national de reproduction des thèses , 1991
Mikroelektronik : Halbleiterbauelemente und deren Anwendung in elektronischen Schaltungen / Th. Tille, D. Schmitt-Landsiedel / Berlin : Springer , cop. 2005
Contribution à la réduction de l'émission parasite des micro-contrôleurs en CMOS sub-micronique / Sébastien Calvet ; [sous la direction de] Etienne Sicard / Lille : Atelier national de reproduction des thèses , 2003
Modeling Nanowire and Double-Gate Junctionless Field-Effect Transistors / Farzan Jazaeri,... Jean-Michel Sallese,... / Cambridge : Cambridge University Press , 2018
Micro et nano-électronique [Ressource électronique] : Bases - Composants - Circuits / Hervé Fanet / Paris : Dunod , [20..]
Microelectronic circuits / Adel S. Sedra,... Kenneth C. Smith, ... / 4th ed. / New York : Oxford University Press , cop. 1998
Etude et optimisation du procédé STiGer de gravure profonde du silicium / Laurianne Pichon-Balmadier ; sous la direction de Pierre Ranson / , 2010
Etude de l'apport des lentilles de Fresnel pour la vision / Tatiana Grulois ; sous la direction de Pierre Chavel / , 2015
Propriétés mécaniques et structurales d'encapsulants polymères utilisés en microélectronique : effet de la température et de l'humidité / Kenza Ayche ; sous la direction de Nicolas Delorme et de Jean-Francois Bardeau et de Jean-François Pilard et de Pascal Ruello / , 2017
Développement de composants analogiques embarqués dans des microcontrôleurs destinés à l'Internet des Objets (loT) / Roméric Gay ; sous la direction de Hassen Aziza et de Vincenzo Della Marca et de Abderrezak Marzaki / , 2022
Étude du collage par adhésion moléculaire hydrophile : application au contrôle de l'énergie de collage / Olivier Rayssac ; sous la direction de Michel Ignat / , 1999
Wire bonding in microelectronics [Multimédia multisupport] / George Harman / 3rd ed. / New York : McGraw-Hill , cop. 2010
Guide des circuits intégrés : linéaires, TTL, CMOS / [préparé par l'équipe de rédaction d'Elektor] ; [traduction H. Podvin, D. Meyer] / 3ème édition / La Chapelle d'Armentières (Nord) : Publitronic , cop. 1986
Développement de nouveaux électrolytes liquides ioniques pour microsupercondensateurs à base d'oxydes métalliques / Jensheer Shamsudeen Seenath ; sous la direction de David Pech et de Dominic Rochefort / , 2023
Développement de procédés de gravure de grille métallique W, WN pour les noeuds technologiques sub-45 nm / Thomas Morel / Villeurbanne : [CCSD] , 2009
Contrôle microstructural du cuivre aux dimensions nanométriques : application à la maîtrise de la résistivité des interconnexions en microélectronique / Vincent Carreau / Villeurbanne : [CCSD] , 2010
Caractérisation des courants de fuite à l'échelle nanométrique dans les couches ultra-minces d'oxydes pour la microélectronique / Wael Hourani ; sous la direction de Brice Gautier et de Liviu Militaru / , 2011
Microelectronic devices / Keith Leaver, ... / 2nd ed. / London : Imperial College Press
Silicon Valley : une histoire américaine / Christian Gandjbakhch, réal. ; Miren Pradier, voix / [Paris] : Gulliver vidéo pédagogique [éd., distrib.] , [DL 2009]
Modulation du travail de sortie de grilles métalliques totalement siliciurées pour des dispositifs CMOS déca-nanométriques = = Work function modulation of totally silicided metal gates for deca-nanometric CMOS device : / par Delphine Aime ; sous la direction de Abdelkader Souifi et Daniel Bensahel / Lille : Atelier national de reproduction des thèses , 2007
Développement de technologies de fabrication de transistors bipolaires en VLSI = = Process development for making bipolar transistor in VLSI : / par Nicolas Degors ; sous la direction de Alain Nouailhat / Lille : Atelier national de reproduction des thèses , 1992
Méthodes d'analyse et propriétés des dispositifs hyperfréquences 1, Multipôles linéaires / sous la direction de Jean-Luc Gautier, Raymond Quéré / Paris : Hermes science publ.
La micro-électronique, la robotique et l'emploi / O.C.D.E / Paris : Organisation de coopération et de développement économiques , 1982
Binding and scattering in two-dimensional systems : applications to quantum wires, waveguides, and photonic crystals / J. Timothy Londergan, John P. Carini, David P. Murdock / Berlin [etc.] : Springer
Méthodologie d'analyse thermique multi niveaux de systèmes électroniques par des modèles compacts / Olivier Martins ; sous la direction d’Yves Marechal / Villeurbanne : CCSD , 2011
De la microélectronique à la microionique : colloque / organisé par le Groupe de chimie du solide, LPMC, École polytechnique et le Groupe technologies et dispositifs ioniques, LRC, Thomson-CFS ; 3-4 mars 1983, à l'École polytechnique / Palaiseau : Ecole polytechnique , 1983
Localisation de défauts par stimulation thermique laser modulée en intensité : développement et application à la direction de phase / Antoine Reverdy ; [sous la direction de] Hugues Murray / [S.l.] : [s.n.] , 2008
Extraction d'informations tridimensionnelles d'images obtenues par microscopie électronique en vue de dessus / Jordan Belissard ; sous la direction de Stéphane Labbé et de Faouzi Triki / , 2019
Nanotechnologies et micromachines : rapport de synthèse du Groupe Nanotechnologies et micromachines, Observatoire français des techniques avancées / Paris : Masson , 1992
Méthodologie d'analyse thermique multi niveaux de systèmes électroniques par des modèles compacts / Olivier Martins ; sous la direction d’Yves Maréchal / , 2010
Proceedings of the 1980 International Microelectronics Symposium : October 20-22, 1980, New York Hilton Hotel, New York, N.Y / ISHM '80-New York ; sponsored by the International Society for Hybrid Microelectronics ; [edited and assembled by the 1980 Technical Program Committee and the Society staff] / Montgomery, Ala. : ISHM , cop. 1980
Microsystem design / Stephen D. Senturia,... / Boston : Kluwer Academic Publishers
Encodage de données programmable et à faible surcoût, limité en disparité et en nombre de bits identiques consécutifs / Julien Saade ; sous la direction de Frédéric Pétrot / , 2015
ESPRIT '88 : putting the technology to use : proceedings of the 5th Annual ESPRIT Conference, Brussels, November 14-17, 1988 / edited by Commission of the European Communities, Directorate-General Telecommunications, Information Industries, and Innovation / Amsterdam : North-Holland , 1988
Microcircuit engineering 93 : proceedings of the International Conference on Microfabrication, September 26-29, 1993, Maastricht, The Netherlands / ed. by S. Radelaar, J. Romijn and E. van der Drift / Amsterdam [etc.] : Elsevier , 1994
MOS Capacitor Deep Trench Isolation (CDTI) for CMOS Image Sensors / Nayera Ahmed ; sous la direction de Guo Neng Lu et de François Roy / , 2015
Symposium proceedings, 1981 / University, Government, Industry Microelectronics Symposium, Starkville, Mississippi ; sponsored by IEEE, National Science Foundation, International Society for Hybrid Microelectronics / New York, NY : Institute of Electrical and Electronics Engineers , cop. 1981
Hybrid microelectronics handbook / [edited by] Jerry E. Sergent, Charles A. Harper / 2nd ed. / New York : McGraw-Hill
いま労働の豊かさとは : ME 革命と熟練のゆくえ / 下田博次 / 東京 : 朝日新聞社 , 1983
Développement de microcapteurs chimiques de type ISE et ISFET à base de films minces de verres chalcogénures. Application à la détection sélective de l'ion Cu2+ / Olivier Valls / Grenoble : Atelier national de reproduction des thèses , 2001
Proceedings from the Ninth meeting of the Symposium of Polymers for Microelectronics at Winterthur : May 1st, 2nd, & 3rd, 2000 / program chairman, Craig C. Schuckert ; co-sponsored by the Electrochemical Society / [Wilmington, Del.] : HD MicroSystems , [2000]
Fabrication additive assisté laser de matériaux composites 3D et revêtement diamant par CVD / Loic Constantin ; sous la direction de Jean-François Silvain et de Yongfeng Lu / , 2020
Evaluation de la technique de la mesure des angles de contact pour caractériser les matériaux de la Micro Electronique / Marc. Berenguer / Grenoble : Ecole Nationale Supérieure d'Electrochimie et d'Electrométallurgie , 1999
Analyse ultime par Spectroscopie de Masses des Ions Secondaires des matériaux de la microélectronique avancée [Ressource électronique] : contribution à l'interprétation des profils de bore dans le silicium = = Ultimate secondary ion mass spectrometry of advances microelectronics' materials : contribution to the interpretation of boron profiles in silicon / par Nicolas Baboux ; sous la dir. de Jean-Claude Dupuy / Villeurbanne : Doc'INSA , 2004
Power microelectronics : Device and process technologies / Yuang C. Liang, Ganesh S. Samudra / New Jersey : World Scientific , 2009
A Production-compatible microelectronic test pattern for evaluating photomask misalignment / T.J. Russel, D.A. Maxwell,. / Washington : U.S. Government printing office , 1979
Etude et caractérisation du matériau CuAI1% utilisé en tant que couche de germination pour améliorer les performances de fiabilité des interconnexions des technologies 45 nm et ultérieures / par Thomas Vanypre ; sous la direction de Jean-Claude Dupuy et Brice Gautier / Lille : Atelier national de reproduction des thèses , 2008
Composants de puissance : commutation, fiabilité / Roland Habchi ; sous la direction de Pierre Mialhe et de Chafic Salame / Grenoble : Atelier national de reproduction des thèses , 2007
Outils destinés à la métrologie nucléaire / Avilio Fernando Munayco Tasayco ; [sous la direction de] C. Ringeard / , 1980
Proceedings of the Symposium on Microstructures and Microfabricated Systems / edited by P.J. Hesketh, J.N. Zemel, H.G. Hughes / Pennington, NJ : Electrochemical Society , c1994
Design of a low-power 60 GHz transceiver front-end and behavioral modeling and implementation of its key building blocks in 65 nm CMOS / Michael M. Kraemer ; sous la direction de Daniela Dragomirescu / , 2010
Etude et fabrication de transistors bipolaires à hétérojonctions Si/SiGe (TBH) intégrés dans une filière BiCMOS industrielle 0.5µ = = Fabrication and investigation of Si/SiGe Hetero junction Bipolar Transistors (HBTs) integrated in a 0.5 micron industrial BiCMOS technology : / par Elisabeth de Berranger-Marinet ; sous la direction de Mireille Mouis / Grenoble : Atelier national de reproduction des thèses , 1998
Physical problems in microelectronics : proceedings of the Fourth International School, ISPPME '85, Varna, Bulgaria, 12-18 May 1985 / edited by J. Kassabov / Singapore : World Scientific , c1985
Microelectronics: principles, design techniques, fabrication processes / New York : Research and Education Association , [1968]
Mesoscopic electromagnetic model of carbon-nanotube arrays and scalable technological processes [Ressource électronique] : Application to the fabrication of novel antennas / Pierre Franck ; sous la direction de Dominique Baillargeat ; co-dirigée par Beng Kang Tay / Limoges : S.C.D. de l'Université , 2014
Physique et technologie du brasage tendre par faisceau laser [Ressource électronique] / Cédric Chaminade ; [sous la direction de] Eric Fogarassy / Strasbourg : Université Louis Pasteur , 2006
Limites de l'intégration des masques de gravure et d'un matériau diélectrique hybride pour la fabrication des interconnexions en microélectronique / Julien Ducoté ; sous la direction de René-Louis Inglebert / , 2010
Nouvelle méthode pour les évaluations de qualité et de fiabilité des composants pour la microélectronique / Wahiba Tazibt ; sous la direction de Pierre Mialhe / Grenoble : Atelier national de reproduction des thèses , 2006
Architectures avancées de transistors CMOS SOI pour le nœud 32 nm et en deça : films ultra-fins, contraintes mécaniques, BOX mince et plan de masse / Claire Gallon ; sous la direction de Sorin Cristoloveanu et de Thomas Skotnicki / , 2007
ESPRIT'89 : proceedings of the 6th Annual ESPRIT Conference, Brussels, November 27 - December 1, 1989 / ed. by Commission of the European Communities, Directorate-General Telecommunications, Information Industries and Innovation / Dordrecht [etc.] : Kluwer , cop. 1989
Microsystem technology / W. Menz, J. Mohr, O. Paul / Weinheim : Wiley-VCH , cop. 2001
Étude thermodynamique et élaboration de dépôts métalliques (W-N-C, Ti-N-C) par PEALD (Plasma Enhanced Atomic Layer Deposition) pour la réalisation d'électrodes de capacités métal / isolant / métal dans les circuits intégrés / Rym Benaboud ; sous la direction de Elisabeth Blanquet et Christophe Wyon / , 2009
Étude par microscopie à force atomique en trois dimensions de l'évolution de la rugosité de bord de ligne lors de la fabrication d'une grille de transistor MOS / Jérôme Thiault ; sous la direction de Olivier Joubert / Lille : Atelier national de reproduction des thèses , 2007
Etude des non-linéarités de permittivité de diélectriques utilisés en microélectronique : application aux capacités MIM [Métal-Isolant-Métal] / par Stéphane Bécu,... ; sous la direction de Jean-Luc Autran / , 2006
Etude de résines microlithographiques sensibles à l'UV lointain et résistantes au plasma O2 / par Annie Rochette Nouveau / [Montpellier] : Atelier duplication [U.S.T.L.] , [1989]
Etude et intégration d'un circuit analogique, basse consommation et à faible surface d'empreinte, de neurone impulsionnel basé sur l'utilisation du BIMOS en technologie 28 nm FD-SOI / Thomas Bedecarrats ; sous la direction de Philippe Galy et de Claire Fenouillet-Béranger et de Sorin Cristoloveanu / , 2019
Réduction de la consommation de silicium et de produits chimiques / Dominique Zulian / , 1999
Handbook of Modern Sensors : Physics, Designs, and Applications / by Jacob Fraden. / 5th ed. 2016. / Cham : Springer International Publishing , 2016
Contraintes d'origine thermique dans les assemblages électroniques / par Frédéric Michard ; sous la direction de Jean-Paul Caltagirone / , 1994
Proceedings of the Third International Symposium on Microstructures and Microfabricated Systems / editors, P.J. Hesketh, G. Barna, H.G. Hughes / Pennington, NJ : Electrochemical Society , cop. 1997
1999 Proceedings / 49th Electronic Components & Technology Conference, June 1-4, 1999, San Diego, California USA ; sponsored jointly by the Components, Packaging, and Manufacturing Technology Society of the IEEE, and the Electronic Industries Alliance / a[New York, N.Y.] : Institute of Electrical and Electronics Engineers , cop. 1999
Simulation and design of microsystems and microstructures / editors, R.A. Adey, A. Lahrmann, C. Lessmölmann / Southampton : Computational Mechanics Publications
Etude du Convertisseur Temps-Numérique de très haute précision pour des applications en Physique des particules pour les mises à jour du détecteur CMS auprès du LHC / Amina Annagrebah ; sous la direction de Imad Laktineh et de Edouard Bechetoille et de Hervé Chanal / , 2021
Etude thermodynamique et structurale de la formation des dépôts minces isolants sur silicium pour la micro-electronique. : Application au systeme NH3/Silicium monocristallin = = thermodynamical and study of the formation of insulating films on silicon for microelectronics : application NH3 / monocrystalline silicon / par Khalid Chafik ; sous la direction de Jean-Claude. Bureau / [Lieu de publication inconnu] : [éditeur inconnu] , 1994
Low-power HF microelectronics : a unified approach / edited by Gerson A.S. Machado / London : The Institution of Electrical Engineers , c1996
Méthode de modélisation prédictive de boîtiers des circuits intégrés en vue d'anticiper avant design l'immunité au bruit du circuit / Afef Bouchaala ; sous la direction de Olivier Bonnaud / , 2016
Evaluation des futures technologies CMOS (Inférieure à 50 nm) au niveau circuit / par Manuel Sellier ; sous la direction de Jean-Michel Portal / [S.l.] : [s.n.] , 2008
Structural analysis in microelectronics and fiber optics 1994 : presented at 1994 International Mechanical Engineering Congress and Exposition, Chicago, Illinois, November 6-11, 1994 / sponsored by the Electrical and Electronic Packaging Division, ASME ; edited by Ephraim Suhir / New York : American Society of Mechanical Engineers , cop. 1994
Analyse et mise en oeuvre d'un protocole de conversation pour un réseau multimicroprocesseurs à reconfiguration dynamique / José-Miguel Pro Quintana ; sous la direction de Georges Chevallier / , 1979
Design of a low-power 60 GHz transceiver front-end and behavioral modeling and implementation of its key building blocks in 65 nm CMOS / Michael Krämer ; sous la direction de Daniela Dragomirescu Reyna / Toulouse : INSA , 2010
Caractérisation hyperfréquence et in-situ de diélectriques à permittivité élevée en vue de leur intégration dans des composants passifs en microélectronique avancée / Thu Trang Vo ; sous la direction de Bernard Flechet / [S.l.] : [s.n.] , 2009
Optoelectronic interconnects for integrated circuits : achievements 1996-2000 / [edited by] Henk Neefs / European Commission. IST programme, June 2000 / [European Commission. Directorate-General for Information Society. Unit F. 1 - Future and emerging technologies] / Luxembourg : Office for Official Publications of the European Communities , 2000
Caractérisation électrique et modélisation du transport dans matériaux et dispositifs SOI avancés / Fanyu Liu ; sous la direction de Sorin Cristoloveanu et de Irina Stefana Ionica / , 2015
Image mathematics and image processing / edited by Peter W. Hawkes / Boston : Academic Press
Miniaturisation des antennes large bande à l'aide de matériaux artificiels [Ressource électronique] / Michaël Grelier ; sous la direction de Xavier Bégaud et Anne-Claire Lepage / Paris : ParisTech , 2011
Analyse des différentes stratégies de procédés de gravure de grille métal - high k pour les nœuds technologiques 45 nm et 32 nm / Olivier Luere ; sous la direction d’Olivier Joubert / , 2009
Nouveaux conducteurs moléculaires dérivés de complexes de coordination / par Brigitte Pomarede ; sous la direction de Jean-Pierre Legros / Grenoble : Atelier national de reproduction des thèses , 1992
The Tao of microelectronics / Yumin Zhang / San Rafael (Calif.) : Morgan & Claypool Publishers
Test et diagnostic de fautes dynamiques dans les mémoires SRAM / Alexandre Ney ; sous la direction de Patrick Girard / Montpellier : Université de Montpellier 2 Sciences et Techniques du Languedoc , 2008
Англо-русский словарь по микроэлектронике : около 15,000 терминов / К.Я. Прохоров / Москва : Русский язык , 1985
Micro- and nanoelectronics 2005 : 3-7 October 2005, Zvenigorod, Russia / Kamil A. Valiev, Alexander A. Orlikovsky, chairs/editors ; organized by FTIAN, Institute of Physics and Technology (Russia) ; SPIE Russia Chapter ; sponsored by Ministry of Education and Science of the Russian Federation (Russia) ... [et al.] / Bellingham, (Wash.) : SPIE , 2006
Quality innovation : an economic analysis of rapid improvements in microelectronic components / G.M.P. Swann / London : Pinter , 1986
Étude du dopage et de la formation des contacts pour les technologies germanium / Jacques Perrin Toinin ; sous la direction de Alain Portavoce et de Khalid Hoummada / , 2016
9th European hybrid microelectronics conference, Proceedings : Nice, Acropolis France june 2-3-4, 1993 / Versailles : International Society for Hybrid Microelectronics France , 1993
Modern microelectronics : basic principles, circuit design, fabrication technology / Dr. Max Fogiel / New York : Research and Education Association , cop. 1972
Réfrigérateur thermoacoustique "compact" : modélisation, conception, évaluation / Bertrand Lihoreau ; sous la direction de Michel Bruneau et de Pierrick Lotton / [S.l.] : [s.n.] , 2002
Développement d'une méthodologie de conception matériel à base de modules génériques VHDL/VHDL-AMS en vue d'une intégration de systèmes de commande électriques / Youssef Kebbati ; sous la direction de Francis Braun / Grenoble : Atelier national de reproduction des thèses , 2002
Electrostatic kinetic energy harvesting / Philippe Basset, Elena Blokhina, Dimitri Galayko / London : ISTE
Analyse de défaillance de nouvelles technologies microélectroniques : nouvelles approches dans la méthodologie de préparation d'échantillon / Amandine Aubert ; sous la direction de Nathalie Labat et de Hélène Fremont / , 2012
Etude, fabrication et propriétés de transport de transistors CMOS associant un diélectrique haute permittivité et un canal de conduction haute mobilité = = Study, fabrication and transport properties of high mobility channel CMOS transistors with high-k gate dielectrics : / par Olivier Weber ; sous la direction de F. Ducroquet / Lille : Atelier national de reproduction des thèses , 2005
Microoptics : from technology to applications / Jürgen Jahns, Karl-Heinz Brenner, editors / New York : Springer , c2004
Propriétés physiques de films minces de phtalocyanines adsorbées sur des semi-conducteurs III-V / par Eric Salomon ; sous la direction de Jean-Marc Layet / [S.l.] : [s.n.] , 2005
1995 IEEE International SOI Conference proceedings / October 3-5, 1995, Westward Look Resort, Tucson, Arizona ; sponsored by the IEEE Electron Devices Society / [New York, N.Y.] : Institute of Electrical and Electronics Engineers , cop. 1995
Développement de la technique de scattérométrie neuronale dynamique / Ismail El Kalioubi ; sous la direction de Cécile Gourgon et de Maxime Besacier et de Stéphane Robert / , 2015
Stress-induced phenomena in metallization : third international workshop, Palo Alto, CA June 1995 / editors, Paul S. Ho ... [et al.] / Woodbury, N.Y. : AIP Press , cop. 1996
Nouvelle méthode pour les évaluations de qualité et de fiabilité des composants pour la microélectronique / Wahiba Tazibt ; sous la direction de Pierre Mialhe / [S.l.] : [s.n.] , 2006
Mécanismes d'enlèvement de particules par laser impulsionnel : application au nettoyage pour la microélectronique / David Grojo ; sous la dir. de P. Delaporte / , 2018
The investor's guide to nanotechnology & micromachines / Glenn Fishbine / New York : John Wiley & Sons , cop. 2002
Alliances entre firmes et course technologique / Emmanuel Combe ; préf. de Jean-Louis Mucchielli,... / Paris : Economica , DL1995
Définition d'une représentation intermédiaire basée sur une approche service pour le prototypage virtuel de systèmes sur puce / Alexandre Chureau ; sous la direction de Ahmed Amine Jerraya et Frédéric Pétrot / , 2008
Dispositifs et physique des microsystèmes sur silicium / sous la direction de Salvador Mir / Paris : Hermès Science publ. , DL 2002
L'implantation ionique : pour la microélectronique et l'optique / Pierre-Noël Favennec,... / Paris : Masson , 1993, cop. 1993
Microélectronique 3, Amplificateurs et systèmes amplificateurs / Jacob Millman, Arvin Grabel ; traduction française Léon Collet / Auckland : McGraw-Hill , 1988
Etude de la fonctionnalisation de structures Si/SiO2 : :(2), Application à la réalisation d'un capteur ionosensible / par Pierre Bataillard ; [sous la direction de Nicole Jaffrezic et Claude Martelet] / [S.l.] : [s.n.] , 1987
Conception et caractérisation d'un transmetteur électro-optique dans une plateforme photonique sur silicium visant des communications très haut débit / Audrey Michard ; sous la direction de Philippe Bénabes / , 2018
Microelectronics and signal processing : advanced concepts and applications / edited by Sanket Goel / Boca Raton : CRC Press
Architectures DRAM [Mémoire dynamique à accès aléatoire] innovantes pour les applications CMOS [Architecture MOS complémentaire] embarquées à haute densité d'intégration / par Rossella Ranica ; sous la direction de Rachid Bouchakour et de Pascal Masson / Lille : Atelier national de reproduction des thèses , 2005
Réalisation d'un montage de mesure de la résistance "in situ : application à l'étude de la formation de films minces de siliciures / Philippe Ventajol / S.l. : s.n. , 1994
The U.S. microelectronics industry : technical change, industry growth, and social impact / Nico Hazewindus with John Tooker / New York : Pergamon Press , c1982
Etude des propriétés et de l'intégration de barrières auto-positionnées sur cuivre formées par des procédés de siliciuration et de dépôts auto-catalytiques dans les interconnexions des circuits intégrés microélectroniques des générations 45 nm [Ressource électronique] = = Properties and integration of self-aligned barriers on copper formed by silicidation and electroless deposition processes within microelectronic integrated circuit interconnects of 45nm technology nodes : / par Sonarith Chhun ; sous la direction de Jean-Claude Dupuy et Laurent Gosset / Villeurbanne : Doc'INSA , 2007
Les procédés par plasmas impliqués dans l'intégration des matériaux sioch poreux pour les interconnexions en microélectronique / Maxime Darnon ; sous la direction de Olivier Joubert / , 2007
Verres conducteurs ioniques et conducteurs mixtes : leurs utilisations dans des microcomposants ioniques / par Robert Creus / [S.l.] : [s.n.] , [1991]
Electronique de comptage de photons pour détecteur à pixels hybrides / Ivane Koudobine ; sous la dir. de Pierre Delpierre / [S.l.] : [s.n.] , 2005
Etude et mise en place d'outils de caractérisation électrique basés sur la technique AFM, en vue de la localisation de défauts au niveau des zones de dopage / Thomas Delaroque ; [sous la direction de] Hugues Murray / [S.l.] : [s.n.] , 2010
Materials, integration and packaging issues for high-frequency devices : symposium held December 1-3, 2003, Boston, Massachusetts, U.S.A / ed. [by] P. Muralt,... [et al.] / Warrendale, PA : Materials Research Society , cop. 2004
Materials in microelectronic and optoelectronic packaging : proceedings of the International Symposium on Materials for optoelectronic and microelectronic packaging, presented at the Third International ceramic science and technology congress, held in San Francisco, CA, November 1-4, 1992 / ed. by Hung C. Ling, Koichi Niwa, Vishwa N. Shukla / Westerville : American ceramic society , 1993
Mikroelektronik in der DDR : SED, Staatsapparat und Staatssicherheit im Wettstreit der Systeme / Gerhard Barkleit ; hrsg. vom Hannah-Arendt-Institut für Totalitarismusforschung e.V. an der Technischen Universität Dresden / Dresden : Hannah-Arendt-Institut für Totalitarismusforschung , 2000
Miniaturisation des oscillateurs "OCXO" pour applications spatiales / Nikolay Vorobyev ; sous la direction de Serge Galliou et de Joël Imbaud et de Thomas Baron
Principes et fonctions de l'électronique intégrée Tome 2, / Jacques Boucher,... Jean Simonne,... / Toulouse : Cépaduès , DL 1981
Spin electronics / edited by David D. Awschalom ... [et al.] ; preface by Usha Varshney, NSF / Dordrecht : Kluwer Academic Publishers , 2004
Caractérisation par spectrométrie de photoélectrons des étapes de réalisations du transistor bipolaire a hétéro jonction gaalas/gaas, et en particulier de la gravure ionique réactive du contact ohmique Gemow sur gaas / Alain Campo ; sous la dir. de Christophe Cardinaud / [S.l.] : [s.n.] , 1994
Utilisation du FIB pour la nanostructuration et l'auto-assemblage de réseaux de nano-objets pour des applications microélectroniques / Guillaume Amiard ; sous la direction de Nicolas Cavassilas et de Isabelle Berbezier / , 2012
Corrélation entre les procédés de fabrication, les propriétés microstructurales et les propriétés mécaniques de couches minces métalliques pour applications microsystèmes / Julien Martegoutte ; sous la direction de Christophe Malhaire / Villeurbanne : SCD Doc’INSA , 2012
1997 Symposium on VLSI Technology : digest of technical papers : June 10-12, 1997, Kyoto / [sponsored by] the Japan Society of Applied Physics [and] the IEEE Electron Devices Society / Tokyo : Business Center for Academic Societies Japan , cop. 1997
Etude de la fiabilité des mesures électriques par la microscopie à force atomique sur couches diélectriques ultra-minces : Développement d'une technique de pompage de charge résolue spatialement pour la caractérisation des défauts d'interface / Antonin Grandfond ; sous la direction de Brice Gautier et de Liviu-Laurentiu Militaru / , 2014
Interconnexions Through Silicon Via pour applications à Haute fiabilité / Anh Phuong Nguyen ; [sous la direction de] Ulrike Lüders / , 2016
Modélisation et gestion du trafic dans le cadre de réseaux sur puce multi-FPGA / Atef Dorai ; sous la direction de El-Bay Bourennane et de Virginie Fresse et de Abdellatif Mtibaa / , 2017
Système d'aide au diagnostic par apprentissage : application aux systèmes microélectroniques / Abderrahmane Boubezoul ; sous la direction de Mustapha Ouladsine / [S.l.] : [s.n.] , 2008
Microélectronique analogique / par B. Grabowski ; préface du Pr. Grivet / Paris : Masson et Cie , 1971, cop. 1971
Materials aspects in microsystem technologies : proceedings of Symposium H on materials aspects in mocrosystem technologies of the E-MRS 1998 Spring Conference, Strasbourg, France, June 16-19, 1998 / ed. by D. Barbier, W. Lang, J.R. Morante, ... [et al.] / Amsterdam : Elsevier , 1999, cop. 1999
Journées nationales microélectronique III-V : Oléron 1 - 3 juin 1988 : exposés de synthèses / organisé par M. Adde,... M. Bailbé,... M. Benoit,... ; CNRS / [S. l.] : [s. n.] , [1988?]
Mise au point d'une technologie de transistors MOS de faibles dimensions / Ruperto Osorio Saucedo / , 1977
The handbook of nanotechnology : business, policy, and intellectual property law / John C. Miller, Ruben M. Serrato, Jose Miguel Represas-Cardenas... [et al.] ; with an editorial contribution by Mark Graffagnini / Hoboken, N.J. : John Wiley & Sons , cop. 2005
Caractérisation de microtextures par la technique ACOM-TEM dans le cadre du développement des technologies avancées en microélectronique / Alexia Valery ; sous la direction de Edgar Rauch et de Frédéric Lorut et de Laurent Clement / , 2017
Contribution à l'étude de dispositifs multifonctions : étude de l'association des fonctions de filtrage et de rayonnement en microélectronique hybride / Frédéric Queudet ; Serge Toutain, directeur de thèse ; Yann Mahé, encadrant / Grenoble : Atelier national de reproduction des thèses , 2006
Capteurs intelligents et microactionneurs intégrés = = [= Smart sensors and integrated actuators] : / LAAS-CNRS / Toulouse : Cépaduès , DL 1992
Angle resolved Mueller polarimetry, applications to periodic structures [Ressource électronique] / par Clément Fallet ; sous la direction de Antonello de Martino / Villeurbanne : TEL , 2011
1993 IEEE International SOI Conference : proceedings / October 5-7, 1993, the Autry Resort, Palm Springs, California / [New York, N.Y.] : Institute of Electrical and Electronics Engineers , cop. 1993
Étude corrélative d'un plasma multipolaire d'oxygène et des diélectriques formés dans ce plasma sur un substrat semi-conducteur : application à la passivation du phosphure d'indium / Ahmed Bouziane ; sous la direction de Michel Remy / , 1993
Nanocircuits en silicium sur isolant élaborés par microscopie à force atomique / Nicolas Clément ; sous la dir. de Viatcheslav Safarov / [S.l.] : [s.n.] , 2003
The social basis of the microelectronics revolution / Alfonso Hernán Molina / Edinburgh : Edinburgh University Press , c1989
Gravure de l'aluminium en plasmas halogénés basse pression-influence du facteur d'aspect / par Philippe Boyer ; sous la direction de Jean-Claude Portal / [S.l] : [s.n] , [1993]
Microelectronic devices / Edward S. Yang / New York [etc.] : McGraw-Hill , 1988
Étude et optimisation d'un capteur d'images à collection de trous : réduction des tensions de fonctionnement et des dimensions du pixel PhotoGate / Thomas Dalleau ; sous la direction de Guo Neng Lu et de François Roy / , 2021
Microengineering aerospace systems / Henri Helvajian, editor / El Segundo, California : Aerospace press , cop. 1999
High-frequency switching power supplies : theory and design / George Chryssis / New York : McGraw-Hill , cop. 1984
Contribution à l'étude des problèmes de compatibilité électromagnétique dans les systèmes micro-électroniques submicroniques / par Jean-Yves Fourniols ; sous la direction de E. Sicard / [S.l] : [s.n] , [1996]
Principes et applications des CI/MOS : le transistor MOS et les diverses technologies utilisées pour les CI. Applications des CI/MOS et avantages / H. Lilen / Paris : Éd. Radio , DL 1972
Conception d'éléments rayonnants pour systèmes d'interrogation multi-antennaires en environnements de propagation difficiles et fortement bruités / par Rafik Addaci ; sous la direction de Robert Staraj et de Cyril Luxey / [S.l.] : [s.n.] , 2011
A new approach for the optimization of Implantable NMR micro-probe for In-vivo Application : Alzheimer's disease / José Antonio Bernardo ; sous la direction de Latifa Fakri-Bouchet et de Christian Gontrand / , 2022
Contribution à l’optimisation du dimensionnement de composants passifs intégrés pour l’électronique de puissance / Dac Kien Lai ; sous la direction de Yves Lembeye / , 2010
Étude d'un protocole de communication asynchrone faible consommation à lien radio impulsionnel ultra-large bande : implémentation sur silicium des fonctions RF critiques / Abderrahmane Haloua ; sous la direction de Jean Gaubert et de Nicolas Dehaese / , 2018
Modélisation et caractérisation de matériaux et nanostructures pour les applications photovoltaïques / Zuzana Mrazkova ; sous la direction de Pere Roca i Cabarrocas et de Martin Foldyna et de Kamil Postava / , 2017
La Silicon Valley, un marché aux puces / Alain Azouaou, Robert Magnaval / Paris : Éd. Ramsay , impr. 1986
Circuits intégrés VLSI, annales, examens : parcours electrical engineering promotion 2008, PHY559 / Yvan Bonnassieux, Gérard Fontaine, Alain Greiner / Palaiseau : École polytechnique , 2010
Mechanical behavior of materials and structures in microelectronics : Symposium held April 30-May 3, 1991, Anaheim, California, U.S.A / ed. Ephraim Suhir... [et al.] / Pittsburgh (Pa) : Materials Research Society , cop. 1991
The TTL data book : for design engineers / the Engineering Staff of Texas Instruments / Dallas : Texas Instruments , 1981
Structuration d'un flot de conception pour la biologie synthétique / Yves Gendrault ; sous la direction de Christophe Lallement / , 2014
Materials science of semiconductors / Angus Rockett / New York : Springer , cop. 2008
Fabrication et étude physique de dispositifs électroniques à nanotubes de carbone / Lionel Fourdrinier / Villeurbanne : [CCSD] , 2009
Future trends in microelectronics : the road ahead / Serge Luryi, Jimmy Xu, Alex Zaslavsky / New York : Wiley-Interscience Publication
Development and characterization of contacts on GeSn alloys / Andrea Quintero ; sous la direction de Éric Cassan / , 2020
Design of SRAM for CMOS 32nm / Lahcen Hamouche ; sous la direction de Bruno Allard / , 2011
Circuits intégrés numériques & analogiques : parcours electrical engineering promotion 2013, PHY559 / Yvan Bonnassieux, Alain Greiner / Palaiseau : École polytechnique , 2016
Papers / from the eleventh international vacuum microelectronics conference (IVMC'98) held in Asheville, North-Carolina, 19-23 july 1998 / from the fourth international plasma-based ion implantation workshop, 2-4 june 1998, Dearborn, Michigan ; ed. Dorota Temple. Papers ; eds. Joseph V. Mantese, Carlton ER. Speck / New York : American Institute of Physics , 1999
Principes et applications des circuits intégrés linéaires : technologie, principe et fonctionnement des circuits intégrés linéaires, schémas d'utilisation / H. Lilen / 3e éd / Paris : Éd. Radio , 1974
Modèle dynamique temps-réel pour l'animation d'objets poly-articulés dans des environnements contraints : prise en compte des contacts frottants et des déformations locales : application en robotique humanoïde et aux avatars virtuels / Jean-Rémy Chardonnet ; sous la direction de François Pierrot et Abderrahmane Kheddar / Montpellier : Université de Montpellier 2 Sciences et Techniques du Languedoc , 2009
Advanced materials for microelectronics : ferroelectrics and low-k dielectrics : papers presented at the European Materials Research Society 2002 Spring Meeting, Symposium P / guest ed. I. Fragala, R. Zambrano, D. Wouters... [et al.] / Amsterdam : Elsevier , 2003
Mécanismes physico-chimiques dans le procédé de gravure plasma du Silicium / par Mellhaoui Xavier ; [sous la direction de] Pierre Ranson,... [et de] Rémi Dussart,... / Grenoble : Atelier national de reproduction des thèses , 2006
Modulation du travail de sortie de grilles métalliques totalement siliciurées pour des dispositifs CMOS déca-nanométriques = = Work function modulation of totally silicided metal gates for deca-nanometric CMOS device : / par Delphine Aime ; sous la direction de Abdelkader Souifi et Daniel Bensahel / [S.l.] : [s.n.] , 2007
Physique et hautes technologies : semi-conducteurs / Michel Voos, Henri-Jean Drouhin / Edition 1999 / Palaiseau : Editions de l'Ecole polytechnique , 1999
Mécanismes de retrait des contaminations particulaire et métallique sur les substrats de la microélectronique : Optimisation des procédés de nettoyage après polissage mécano-chimique / Isabelle Constant ; sous la direction de Jacques Derrien / Grenoble : Atelier national de reproduction des thèses , 2000
Achievements 1998-2001, Si-based interconnects : optoelectronic interconnects for integrated circuits / European commission, Directorate general for research ; ed. by Elena Degoli,.. / Luxembourg : Office for official publications of the European communities , 2001
Concepts in spin electronics [Ressource électronique] / edited by Sadamichi Maekawa / Oxford : Oxford University Press , 2007
Smart Structures and Materials 2006 : Smart electronics, MEMS, BioMEMS, and nanotechnology : 27 February-1 March, 2006, San Diego, California, USA / Vijay K. Varadan, chair/editor ; published by SPIE, the International Society for Optical Engineering ; cosponsored by ASME, American Society of Mechanical Engineers (USA) ; Intelligent Materials Forum (Japan) ... [et al.] / Bellingham,( Wash.) : SPIE , cop. 2006
Développement de méthodologies d'Eco-conception pour le secteur microélectronique / Aurélie Villard ; sous la direction de Daniel Brissaud / , 2012
Stress induced phenomena in metallization : fourth international workshop, Tokyo, Japan, June, 1997 / editors, Hidekazu Okabayashi, Shoso Shingubara, Paul S. Ho / Woodbury, N.Y. : American Institute of Physics , cop. 1998
Compréhension de l'apport des contraintes mécaniques sur les performances électriques des transistors avancés sur SOI / Anouar Idrissi-El Oudrhiri ; sous la direction de Mireille Mouis / , 2016
Proceedings of the 9th International Microelectronics Conference : April 24-26, 1996, Omiya Sonic City, Omiya, Japan / sponsored by SHM, [edited and assembled by the technical program commitee and SHM staff] / Tokyo : SHM : The Microelectronics Society-Japan , cop. 1996
Évaluation et pilotage des activités de recherche dans la R&D centrale de STMicroelectronics : nouveaux principes de management de la recherche industrielle pour l'innovation de rupture / par Yacine Felk ; sous la direction de Pascal Le Masson et Benoît Weil / , 2011
Modélisation du transport électronique et de l'accumulation de la charge dans les isolants en couches minces / Anne-Charlotte Amiaud ; sous la direction de Stéphane Holé et de Aude Leuliet / , 2018
Etude cinétique de polymères thermostables : application aux matériaux de structure (préimprégnés) et à la microélectronique (photoréactivité) / par Bernard Loisel / Grenoble : Atelier national de reproduction des thèses , 1992
Synthèse et caractérisations de couches minces de matériaux piézoélectriques sans plomb / Sébastien Quignon ; sous la direction de Denis Remiens / , 2013
Caractérisation et modélisation des mémoires Flash embarquées destinées aux applications faible consommation et à forte contrainte de fiabilité. / Guillaume Just ; sous la direction de Frédéric Lalande / [S.l.] : [s.n.] , 2013
Electrochemistry of nanomaterials / Gary Hodes, ed. / Weinheim : Wiley-VCH
Procédé de dépôt de couche barrière-d'adhésion et de cuivre dans des structures 3D pour application microélectronique / Kilian Piettre ; directeur de thèse, Bruno Chaudret et Pierre Fau / [S.l.] : [s.n.] , 2012
Développement d'outils statistiques pour la mise en place de boucles de régulation en microélectronique / Caroline Paccard ; directeur de thèse Philippe Besse / [S.l.] : [s.n.] , 2008
Caractérisation et modélisation des fluctuations aléatoires des paramètres électriques des dispositifs en technologies CMOS avancées / Cecilia Maggioni Mezzomo ; sous la direction de Gérard Ghibaudo / , 2011
Elsevier's dictionary of microelectronics : in five languages english, german, french, spanish and japanese / compiled by P. Nagy and G. Tarjan,... / Amsterdam : Elsevier , 1988, cop. 1988
Etude des propriétés et de l'intégration de barrières auto-positionnées sur cuivre formées par des procédés de siliciuration et de dépôts auto-catalytiques dans les interconnexions des circuits intégrés microélectroniques des générations 45 nm = = Properties and integration of self-aligned barriers on copper formed by silicidation and electroless deposition processes within microelectronic integrated circuit interconnects of 45nm technology nodes : / par Sonarith Chhun ; sous la direction de Jean-Claude Dupuy [et] Laurent Gosset / Lille : Atelier national de reproduction des thèses , 2006
Microscopie magnétique pour localisation de défaillance de circuits intégrés / par Olivier Crépel ; [sous la direction de] Philippe Descamps et Christophe Goupil / Grenoble : Atelier national de reproduction des thèses , 2004
Etude de la dynamique de charges par microscopie à force électrostatique : exemple des isolants à grande constante diélectrique / Jérôme Lambert ; sous la direction de Michel Saint-Jean / Lille : Atelier national de reproduction des thèses , 2003
Contribution à la conception d'un circuit analogique programmable en technologie CMOS [Ressource électronique] : conception et caractérisation d'une cellule de calcul analogique = = Contribution to the design of a programmable analogue circuit in CMOS technology : design and characterisation of an analogue computation cell / par Alain Aubert ; sous la direction de Jean-Pierre Chante / Villeurbanne : Doc'INSA , 2005
Study and optimization of very high voltage capacitors integrated on a silicon substrate / Larry Buffle ; sous la direction de Pascal Aubert et de Frédéric Voiron / , 2020
MIXDES 2001 : proceedings of the 8th International Conference Mixed design of integrated circuits and systems : Zakopane, Poland, 21-23 June 2001 / [ed. by Andrzej Napieralski] ; org. by Department of Microelectronics and Computer Science, Technical University of Lodz, Poland ; in cooperation with : Poland Section IEEE - CAS & ED Chapters ... [et al.] / Łódź : Lodart , cop. 2001
Conception mixte d'un capteur d'images intelligent intégré à traitements locaux massivement parallèles / Juliette Le hir ; sous la direction de Jérôme Juillard / , 2018
Circuits intégrés numériques & analogiques : parcours electrical engineering annales examens promotion 2013, PHY559 / Yvan Bonnassieux, Alain Greiner / Palaiseau : École polytechnique , 2015-2016
Modélisation de la diffusion des dopants dans le silicium pour la réalisation de jonctions fines / Frédéric Boucard / Villeurbanne : [CCSD] , 2003
La filière micro-électronique dans le monde : les semi-conducteurs au coeur des mutations technologiques / [étude réalisée par Abdelaziz Mouline et Gérald Santucci] / Paris : Eurostaf , 1992
Nanolithography and patterning techniques in microelectronics / edited by David G. Bucknall / Cambridge : Woodhead publ. , cop. 2005
Microelectronics and microcomputer applications : selected readings for engineering educators / edited by M.G. Hartley and A. Buckley / Manchester [Greater Manchester : Manchester University Press , cop. 1983
Description énergétique des constituants de la micro et nano-électronique / Philippe & Valérie Galy / Paris : Ellipses , DL 2005, cop. 2005
Principles of CMOS VLSI design : a systems perspective / Neil H.E. Weste,... Kamran Eshraghian,... / Reading, Mass. : Addison-Wesley , cop. 1985
Thermométrie submicrométrique par fluorescence : caractérisation de micro et nanostructures en milieux sec et liquide / Peter Löw ; directeur de thèse Christian Bergaud / [S.l.] : [s.n.] , 2008
Epitaxie en phase vapeur aux organométalliques et caractérisation de semi-conducteur III-As sur substrat silicium dans une plateforme microélectronique / Romain Cipro ; sous la direction de Thierry Baron et de Yann Bogumilowicz / , 2016
Principes de la microélectronique / I. Stepanenko ; [traduit du russe par V. Koliméev] / Moscou : Mir , cop. 1983
Nanometer-scale science & technology / ed. by Christie R.K. Marrian / New York : IEEE , 1997
Papers / from the 16th north american conference on molecular beam epitaxy, 5-8 october 1997, Ann Arbor, Michigan / from the conference on silicon heterostructures : from physics to devices, 15-19 september 1997, Castelvecchio Pascoli, Italy ; ed. Keith R. Evans. Papers ; ed. Thomas P. Pearsall / New York : American Institute of Physics , 1998
Nano-fonctionnalisation par FIB haute résolution de silicium / Imene Guellil ; sous la direction de Isabelle Berbezier et de Luc Favre et de Antoine Ronda / , 2022
Microelectronics : digital and analog circuits and systems / Jacob Millman,... / Auckland : McGraw-Hill International Book Company , cop. 1979
Développement de capteurs intégrés pour micropompes MEMS : applications biomédicales / Arnaud Salette ; sous la direction de Panagiota Morfouli et de Laurent Montès / , 2012
Contribution à l'étude de dispositifs multifonctions : étude de l'association des fonctions de filtrage et de rayonnement en microélectronique hybride / Frédéric Queudet ; Serge Toutain, directeur de thèse ; Yann Mahé, encadrant / [S.l.] : [s.n.] , 2006
Etude et développement d'un noeud piézoélectrique intégré dans un micro-système reconfigurable : applications à la surveillance "de santé" de structures aéronautiques / Hamza Boukabache ; sous la direction de Jean-Yves Fourniols et de Christophe Escriba / , 2013
Études théorique et expérimentale de dispositifs à hétérojonction AL(Ga, In)N/GaN pour des applications de puissances en bande Q (40.5 - 43.5GHz) / Alain Agboton ; sous la direction de Jean-Claude De Jaeger et de Nicolas Defrance / , 2016
Développement d'outils statistiques pour la mise en place de boucles de régulation en microélectronique [Ressource électronique] / Caroline Paccard ; directeur de thèse Philippe Besse / Toulouse , 2010
Méthodologie d'essais accélérés de torsion et de détection de défaillance appliquée aux assemblages électroniques à billes / présentée par Wilson Carlos Maia Filho ; [sous la direction de] Yves Danto et Hélène Fremont / Lille : Atelier national de reproduction des thèses , 2008
Deposition and growth : limits for microelectronics / [A Topical Conference on Deposition and Growth Limits for Microelectronics, held at], Anaheim, CA, [on November 3-5], 1987 ; ed. G.W. Rubloff / New York : American Institute of Physics , 1988
Les nanosciences 1, Nanotechnologies et nanophysique / sous la direction de Marcel Lahmani, Claire Dupas, Philippe Houdy / Nouvelle édition revue et augmentée / Paris : Belin , DL 2006
Intégration de matériaux à forte permittivité électrique (High-k) dans les mémoires non-volatiles pour les générations sub-45 nm / Marc Bocquet / Villeurbanne : [CCSD] , 2018
Description des monocristaux parfaits / Valérie Berland, Philippe Galy / Paris : Ellipses , DL 1999
Couches minces de fluorures du groupe II-A : application à la passivation de InP / Hervé Ricard ; [sous la direction de] Albert-Serge Barrière / , 1986
BARMINT : basic research for microsystems integration : final report, project nʿ8173 / European Commission, DGIII Industry ; ESPRIT Programme ; ed. by D. Estève... [et al.] / Toulouse : Cépaduès Ed. , cop.1997
Etude thermodynamique et élaboration de dépôts métalliques (W-N-C, Ti-N-C) par PEALD (Plasma Enhanced Atomic Layer Deposition) pour la réalisation d'électrodes de capacités métal / isolant / métal dans les circuits intégrés / Rym Benaboud / Villeurbanne : [CCSD] , 2009
1996 proceedings / 46th Electronic Components & Technology Conference, May 28-31, 1996, Orlando, Florida ; sponsored jointly by the Components, Packaging, and Manufacturing Technology Society of the IEEE, and the Electronic Industries Association / [New York, N.Y.] : Institute of Electrical and Electronics Engineers , cop. 1996
Conception et caractérisation de filtres optiques et de VCSELs accordables à base de micro système sur substrat InP pour les Réseaux optiques multiplexés en longueur d'onde = = Design and characterization of tunable optical filters and tunable VCSELs based on micro electromechanical systems on InP substrate for wavelength division multiplexed netwo : / par Aldrice G. Bakouboula ; sous la dir. de Taha Benyattou / [S.l.] : [s.n.] , 2004
Stabilisation grande surface de semi-conducteurs bidimensionnels pour une intégration dans des composants discrets / Pierre Brus ; sous la direction de Pierre Seneor et de Bruno Dlubak / , 2021
Piezoelectricity : Evolution and Future of a Technology / by Walter Heywang, Karl Lubitz, Wolfram Wersing ; edited by Robert Hull, R. M. Osgood, J#̂rgen Parisi, Hans Warlimont / 1st ed. 2008. / Berlin, Heidelberg : Springer Berlin Heidelberg
Développement de dispositifs à base de composants 1D pour applications basse consommation et intelligence ambiante / Julien Thielleux ; sous la direction de Henri Happy / , 2012
Etude de la dynamique de charges par microscopie à force électrostatique : exemple des isolants à grande constante diélectrique / Jérôme Lambert / Villeurbanne : [CCSD] , 2004
Nanosciences, nanotechnologies / Hervé Colombani, Marcel Dalaise, Alain Monclin... [et al.], réal. ; Bernard Lhoste, coordinateur / Meudon : CNRS Images [prod., éd., distrib.] , cop. 2008
Réalisation d'un démonstrateur de carte à puce avec interfaces contact / sans-contact intégrant un afficheur et un clavier / Claude Barral / [S.l.] : [s.n.] , 1999
Etude de la résolution en profondeur lors de l'analyse par spectrométrie de masse des ions secondaires : détermination de la fonction de résolution pour le bore dans le silicium, mise au point d'une procédure de déconvolution et applications = = Study of the depth resolution of the analysis by secondary ion mass spectrometry : determination of the depth resolution function for dilute profiles of boron in silicon, elaboration of a deconvolution procedure and applications / par Brice Gautier ; sous la direction de Jean-Claude Dupuy / Grenoble : Atelier national de reproduction des thèses , 1997
Microélectronique 3, amplificateurs et systèmes amplificateurs / Jacob Millman, Arvin Grabel ; trad. [de la 2e édition américaine par] Léon Collet / Paris : Ediscience , 1994
Frequency measurement and control / Chronos Group, French National Observatory, and National Centre of Scientific Research, France / 1st English language ed. / London : Chapman & Hall , 1994
Special issue on the 1981 International Microelectronics Symposium, October 12-14, 1981, Chicago, IL / International Microelectronics Symposium / Montgomery, Ala. : International Society for Hybird Microelectronics , 1981
Thermique et microtechnologies : SFT 2003 : actes du congrès annuel de la société française de thermique, 3-6 juin 2003, Grenoble, France / organisé par le Laboratoire des écoulements géophysiques et industriels (LEGI - UMR 5519 CNRS - UJF - INPG) [et] le Groupement pour la recherche sur les échangeurs thermiques(GRETh - CEA Grenoble) ; Philippe Marty, André Bontemps, Stéphane Le Person, Frédéric Ayela, coordonnateurs / Paris : Elsevier , DL 2003
16èmes journées nationales microondes : 27 - 28 - 29 mai 2009, Grenoble Europole - Centre de congrès – Minatec / IMEP-LAHC / [Lieu de publication inconnu] : [Éditeur inconnu] , 2009, cop. 2009
Les nanosciences 2, Nanomatériaux et nanochimie / sous la direction de Marcel Lahmani, Catherine Bréchignac, Philippe Houdy ; [illustrations de Orou Mama] ; [préface de Jean-Marie Lehn] / [2e édition revue et augmentée] / Paris : Belin , DL 2012
Solid state microbatteries / ed. by James R. Akridge and Minko Balkansi / New York [etc.] : Plenum Press
Future trends in microelectronics : Frontiers and innovations / edited by Serge Luryi, Jimmy Xu, Alex Zaslavsky / Hoboken : Wiley , cop. 2013
Analyse de défaillance de nouvelles technologies microélectroniques : nouvelles approches dans la méthodologie de préparation d’échantillon / Amandine Aubert le 2012 [ Bordeaux 1 ]
Systèmes nationaux d'innovation et économies en rattrapage : les cas de la Corée du sud et de Taïwan dans l'industrie de la microélectronique / Thierry Paulmier le 2000 [ Paris 2 ]
Développement d'outils statistiques pour la mise en place de boucles de régulation en microélectronique / Caroline Paccard le 2008 [ Toulouse 3 ]
Elaboration et caractérisation de structures Silicium-sur-Isolant réalisées par la technologie Smart Cut™ avec une couche fragile enterrée en silicium poreux / Anne-Sophie Stragier le 2011 [ Lyon, INSA ]
Design of SRAM for CMOS 32nm / Lahcen Hamouche le 2011 [ Lyon, INSA ]
Analysis of ultrathin gate-oxide breakdown mechanisms and applications to antifuse memories fabricated in advanced CMOS processes / Matthieu Deloge le 2011 [ Lyon, INSA ]
Réduction de puissance durant le test par scan des circuits intégrés / Nabil Badereddine le 2006 [ Montpellier 2 ]
An incremental approach for hardware discrete controller synthesis / Mingming Ren le 2011 [ Lyon, INSA ]
Caractérisation par la méthode Corona de diélectriques à faible et très faible permittivité / Delphine Fossati le 2008 [ Grenoble INPG ]
Techniques de BIST pour le test en ligne / Olivier Potin le 1999 [ Montpellier 2 ]
Élaboration de nouveaux substrats en céramique pour applications en microélectronique / Gilles Pilorget le 1990 [ Rennes 1 ]
Corrélation entre les procédés de fabrication, les propriétés microstructurales et les propriétés mécaniques de couches minces métalliques pour applications microsystèmes / Julien Martegoutte le 2012 [ Lyon, INSA ]
Étude par microscopie à force atomique en trois dimensions de l’évolution de la rugosité de bord de ligne lors de la fabrication d’une grille de transistor MOS / Jérôme Thiault le 2007 [ Grenoble 1 ]
Mécanismes de retrait des contaminations particulaire et métallique sur les substrats de la microélectronique : Optimisation des procédés de nettoyage après polissage mécano-chimique / Isabelle Constant le 2000 [ Aix-Marseille 2 ]
Gestion de la contamination en microélectronique avancée / Yannick Borde le 2008 [ Grenoble INPG ]
Caractérisation et développement d'architectures 3 D pour capacités métal-isolant-métal intégrant des électrodes en cuivre et des diélectriques à permittivité élevée / Maryline Thomas le 2007 [ Grenoble INPG ]
Limites de l'intégration des masques de gravure et d'un matériau diélectrique hybride pour la fabrication des interconnexions en microélectronique / Julien Ducoté le 2010 [ Grenoble INPG ]
Caractérisation et modélisation des fluctuations aléatoires des paramètres électriques des dispositifs en technologies CMOS avancées / Cecilia Maggioni Mezzomo le 2011 [ Grenoble ]
Caractérisation des courants de fuite à l'échelle nanométrique dans les couches ultra-minces d'oxydes pour la microélectronique / Wael Hourani le 2011 [ Lyon, INSA ]
Aide à la conception de lignes microrubans à onde lente sur substrat structuré dans les bandes RF et millimétriques : applications aux coupleurs et dispositifs passifs non-réciproques / Duc Long Luong le 2018 [ Lyon ]
Intégration de matériaux à forte permittivité électrique (High-k) dans les mémoires non-volatiles pour les générations sub-45 nm / Marc Bocquet le 2009 [ Grenoble INPG ]
Développement d'un procédé innovant pour le remplissage des tranchées d'isolation entre transistors des technologies CMOS avancées / Aurélien Tavernier le 2014 [ Grenoble ]
Fluctuations basse fréquence et variabilité dans les composants CMOS 32nm / Eleftherios Ioannidis le 2013 [ Grenoble ]
Techniques de tolérance aux fautes : conception des circuits fiables dans les technologies avancées / Diarga Fall le 2013 [ Grenoble ]
Etude de la fiabilité des mesures électriques par la microscopie à force atomique sur couches diélectriques ultra-minces : Développement d'une technique de pompage de charge résolue spatialement pour la caractérisation des défauts d'interface / Antonin Grandfond le 2014 [ Lyon, INSA ]
Conception d’une mémoire SRAM en tension sous le seuil pour des applications biomédicales et les nœuds de capteurs sans fils en technologies CMOS avancées / Anis Feki le 2015 [ Lyon, INSA ]
Circuit-level approaches to mitigate the process variability and soft errors in FinFET logic cells / Alexandra Lackmann-Zimpeck le 2019 [ Toulouse, ISAE ]
Caractérisation de microtextures par la technique ACOM-TEM dans le cadre du développement des technologies avancées en microélectronique / Alexia Valery le 2017 [ Université Grenoble Alpes (ComUE) ]
Optimisation des jonctions de dispositifs (FDSOI, TriGate) fabriqués à faible température pour l’intégration 3D séquentielle / Luca Pasini le 2016 [ Université Grenoble Alpes (ComUE) ]
Conception et fabrication de sélecteurs non-linéaires à base d'effet tunnel / Maxime Plourde le 2022 [ Lyon ]
Caractérisation et modélisation de la fiabilité relative au piégeage dans des transistors décananométriques et des mémoires SRAM en technologie FDSOI / Alexandre Subirats le 2015 [ Université Grenoble Alpes (ComUE) ]
Etude des bruits basse fréquence dans les détecteurs infrarouge quantiques refroidis à base de HgCdTe / Alexandre Brunner le 2015 [ Université Grenoble Alpes (ComUE) ]
Intégration de capacités MIM tridimensionnelles de 35nF/mm2 et au-delà dans des technologies CMOS et BiCMOS / Aurélie Bajolet le 2006 [ Grenoble INPG ]
Contraintes d'origine thermique dans les assemblages électroniques / Frédéric Michard le 1994 [ Bordeaux 1 ]
Solution générique pour l'adressage matriciel de micro-actionneurs thermiques et optimisation de micro-sources thermiques / Maxime Dumonteuil le 2006 [ Toulouse 3 ]
Microcapteurs intégrés dans les diffuseurs thermiques diphasiques sur SOI : application au refroidissement de dispositifs microélectroniques / Bogdan Bercu le 2008 [ Grenoble INPG ]
Test et Fiabilité des Mémoires SRAM / Renan Alves Fonseca le 2011 [ Montpellier 2 ]
Méthodes alternatives pour le test et la calibration de MEMS : application à un accéléromètre convectif / Ahmed Rekik le 2011 [ Montpellier 2 ]
Étude thermodynamique et élaboration de dépôts métalliques (W-N-C, Ti-N-C) par PEALD (Plasma Enhanced Atomic Layer Deposition) pour la réalisation d'électrodes de capacités métal / isolant / métal dans les circuits intégrés / Rym Benaboud le 2009 [ Grenoble INPG ]
Développement de techniques nano-sondes pour la mesure du travail de sortie et application aux matériaux en microélectronique / Khaled Kaja le 2010 [ Grenoble ]
Architectures avancées de transistors CMOS SOI pour le nœud 32 nm et en deça : films ultra-fins, contraintes mécaniques, BOX mince et plan de masse / Claire Gallon le 2007 [ Grenoble INPG ]
Modélisation et simulation basée sur systemC des systèmes monopuces au niveau transactionnel pour l'évaluation de performances / Rocco Le Moigne le 2005 [ Nantes ]
Conception d’un système pour l’acquisition sélective de signaux neurophysiologiques : électrode multipolaire, prétraitement analogique et circuit d’amplification / Lionel Gouyet le 2008 [ Montpellier 2 ]
Caractérisation, modélisation et fiabilité des diélectriques de grille à base de HfO2 pour les futures technologies CMOS / Théodore Nguyen le 2009 [ Lyon, INSA ]
Caractérisation et modélisation thermomécanique des couches d’interconnexions dans les circuits sub-microélectroniques / Nathalie Cherrault le 2006 [ Paris, ENMP ]
Etude du remplissage de tranchées profondes par du silicium polycristallin / Fabien Pierre le 2002 [ Rennes 1 ]
Amélioration et suivi de la robustesse et de la qualité de MOSFETs de puissance dédiés à des applications automobiles micro-hybrides / Emilie Pomès le 2012 [ Toulouse, INSA ]
Étude corrélative d'un plasma multipolaire d'oxygène et des diélectriques formés dans ce plasma sur un substrat semi-conducteur : application à la passivation du phosphure d'indium / Ahmed Bouziane le 1993 [ Nancy 1 ]
Effets transitoires de film flottant pour des applications mémoires dans le cadre de transistors MOS en technologie silicium-sur-isolant complètement désertée / Maryline Bawedin le 2007 [ Grenoble INPG ]
Développement de procédés de gravure à base de plasmas réactifs pulsés Pulsed plasmas for etch applications / Moritz Haass le 2012 [ Grenoble ]
Etude prédictive de fiabilité de nouveaux concepts d’assemblage pour des « system-in-package » hétérogènes / Samed Barnat le 2011 [ Bordeaux 1 ]
Proposition d'extension à SystemC-AMS pour la modélisation, la conception et la vérification de systèmes mixtes analogiques-numériques / Yao Li le 2015 [ Paris 6 ]
Méthode de modélisation prédictive de boîtiers des circuits intégrés en vue d’anticiper avant design l’immunité au bruit du circuit / Afef Bouchaala le 2016 [ Rennes 1 ]
Evaluation des futures technologies CMOS (Inférieure à 50 nm) au niveau circuit / Manuel Sellier le 2008 [ Aix-Marseille 1 ]
Etude des commutations de résistance de l'oxyde d'hafnium / Cédric Mannequin le 2014 [ Grenoble ]
Contribution à une plate forme d'aide à la conception de Microsystème / Rima Hamoui le 2009 [ Lyon, INSA ]
Validation de systèmes sur puce complexes du niveau transactionnel au niveau transfert de registres / Zeineb Belhadj Amor le 2014 [ Grenoble ]
Méthodes de tests et de diagnostics appliquées aux mémoires non-volatiles / Jérémy Plantier le 2012 [ Aix-Marseille ]
Etude des résines à amplification chimique 193 nm de tonalité positive ou négative pour une application microélectronique sub65 nm / Michael Julian May le 2008 [ Université Louis Pasteur (Strasbourg) (1971-2008) ]
Développement de méthodologies d'Eco-conception pour le secteur microélectronique / Aurélie Villard le 2012 [ Grenoble ]
Analyse statique temporelle des performances en présence de variations de tension d'alimentation et de température / Benoît Lasbouygues le 2006 [ Montpellier 2 ]
Exploration of dynamic refiguration solutions for improved reliability in DC microgrids / Francesco Di gregorio le 2021 [ Montpellier ]
Modélisation du transport électronique et de l'accumulation de la charge dans les isolants en couches minces / Anne-Charlotte Amiaud le 2018 [ Sorbonne université ]
Micro et nano-antennes adaptées à la microscopie champ proche et à l'imagerie haute résolution / Audrey Fahys le 2007 [ Besançon ]
Performance de la mémoire DRAM embarquée sans capacité sur film mince / Sophie Puget le 2009 [ Aix-Marseille 1 ]
Contrôle dimensionnel sub-micrométrique utilisant un appareil goniométrique bidimensionnel rapide / Jérôme Petit le 2005 [ Université Joseph Fourier (Grenoble ; 1971-2015) ]
Méthodologie de diagnostic et techniques de test pour les mémoires non volatiles de type EEPROM / Hassen Aziza le 2004 [ Aix-Marseille 1 ]
Étude par modélisation des événements singuliers (SET/SEU/SEL) induits par l’environnement radiatif dans les composants électroniques / Ahmad Al Youssef le 2017 [ Toulouse, ISAE ]
Etude des transistors à effet de champ organiques : réalisation d'OFETs ambipolaires et étude des mécanismes d'injection dans les OFETs verticaux / Marjorie Morvan le 2020 [ Toulouse 3 ]
Contribution à l'étude de la miniaturisation des émetteurs récepteurs pour les communications mobiles : étude des potentialités de la technologie microruban sur substrat à haute permittivité / Abdel Fattah Sheta le 1996 [ Brest ]
Méthodes de mesure pour l’analyse vectorielle aux fréquences millimétriques en technologie intégrée / Vipin Velayudhan le 2016 [ Université Grenoble Alpes (ComUE) ]
L'impression 3D polymère appliquée au packaging en microélectronique / Gabrielle Aspar le 2019 [ Université Grenoble Alpes (ComUE) ]
Couches conductrices par voie organométallique pour les dispositifs 3D en microélectronique / Jérémy Cure le 2015 [ Toulouse 3 ]
Analyse des différentes stratégies de procédés de gravure de grille métal - high k pour les nœuds technologiques 45 nm et 32 nm / Olivier Luere le 2009 [ Grenoble 1 ]
Contribution à l’optimisation du dimensionnement de composants passifs intégrés pour l’électronique de puissance / Dac Kien Lai le 2010 [ Grenoble INPG ]
Conception de dispositifs de contrôle asynchrones et distribués pour la gestion de l’énergie / Chadi Al Khatib le 2016 [ Université Grenoble Alpes (ComUE) ]
Analyses morphologiques et dimensionnelles de nanostructures organisées par diffusion centrale des rayons X / Guillaume Freychet le 2016 [ Université Grenoble Alpes (ComUE) ]
Approches parcimonieuses appliquées à la tomographie électronique analytique / Martin Jacob le 2021 [ Université Grenoble Alpes ]
Diminution of the lithographic process variability for advanced technology nodes / Anna Szucs le 2015 [ Université Grenoble Alpes (ComUE) ]
Etude de la gravure des contacts en présence d’un double masque pour les nœuds technologiques avancés / Mokrane Mebarki le 2016 [ Université Grenoble Alpes (ComUE) ]
Méthodologie d'analyse thermique multi niveaux de systèmes électroniques par des modèles compacts / Olivier Martins le 2010 [ Grenoble INPG ]
Étude de mémoires flash intégrant des diélectriques high-k en tant qu'oxyde tunnel ou couche de stockage / Julien Buckley le 2006 [ Grenoble INPG ]
Horloge atomique microonde à technologie de microcellule améliorée et régime d’interrogation impulsionnel / Clément Carlé le 2023 [ Bourgogne Franche-Comté ]
Étude de l'origine de la diffusion latérale et réalisation d'un procédé salicide WSI2 / Mouloud Bakli le 1991 [ Grenoble 1 ]
Elaboration par PE-MOCVD à injection pulsée et caractérisation de matériaux à forte permittivité de type multicouches ou alliées pour des appliations capacités MIM / Maurice Kahn le 2008 [ Grenoble 1 ]
Procédés de réalisation de matériaux "germanium sur isolant" par technique de condensation du germanium / Benjamin Vincent le 2008 [ Grenoble INPG ]
Calorimétrie semi-digitale auprès d'un collisionneur linéaire : développement d'une électronique d'acquisition, de compression et de transfert des données / Clément Jauffret le 2008 [ Palaiseau, Ecole polytechnique ]
Réalisation d'interconnexions de faible résistivité à base de nanotubes de carbone biparois pour la microélectronique / Florent Seichepine le 2011 [ Toulouse 3 ]
Nanocircuits en silicium sur isolant élaborés par microscopie à force atomique / Nicolas Clément le 2003 [ Aix-Marseille 2 ]
Couches superépaisses de cuivre sérigraphiées sur alumine pour des applications en microélectronique hybride de puissance / Moulay Omar Rachidi le 1999 [ Bordeaux 1 ]
Contribution à la conception d'un circuit analogique programmable en technologie CMOS : conception et caractérisation d'une cellule de calcul analogique / Alain Aubert le 2001 [ Lyon, INSA ]
Wireless Approach for SIP and SOC Testing / Ziad Noun le 2010 [ Montpellier 2 ]
Développement de la technique de sérigraphie pour la formation de billes de connexions inférieures a 100µm pour l'assemblage 3D : optimisation et étude de fiabilité / Norchene Jemai le 2010 [ Toulouse, INSA ]
Interface faible consommation pour capteurs MEMS résistifs à faible sensibilité / El Mehdi Boujamaa le 2010 [ Montpellier 2 ]
Réseau de PLLs distribuées pour synthèse automatique d'horloge de MPSOCs synchrones / Anton Korniienko le 2011 [ Ecully, Ecole centrale de Lyon ]
The modal method : a reference method for modeling of the 2D metal diffraction gratings / Ivan Gushchin le 2011 [ Saint-Etienne ]
Composants de puissance : commutation, fiabilité / Roland Habchi le 2007 [ Perpignan ]
Étude du phénomène de relaxation diélectrique dans les capacités Métal-Isolant-Métal / Jean-Philippe Manceau le 2008 [ Grenoble 1 ]
Analyse du comportement d'interconnexions damascènes en cuivre testées en électromigrations / Thierry Berger le 2001 [ Lyon, INSA ]
Contribution à l'étude des phénomènes induits par les rayonnements ionisants dans les structures à effet de champ au silicium ou à l'arseniure de gallium utilisées en microélectronique / Jean Luc Leray le 1989 [ Paris 11 ]
Caractérisation, modélisation et simulation des transistors SOI MOSFET décananométriques / Noel Rodriguez Santiago le 2008 [ Grenoble INPG ]
Développement et caractérisation d’un ASIC de lecture de macro-cellule de photo-détecteurs de grande dimension / Selma Conforti Di Lorenzo le 2010 [ Paris 11 ]
Macro-modélisation des structures MOS "haute tension" intégrées avec prise en compte de l'auto-échauffement / Anna Canepari le 2006 [ Lyon, INSA ]
Test et diagnostic de fautes dynamiques dans les mémoires SRAM / Alexandre Ney le 2008 [ Montpellier 2 ]
Dispositifs GAA [Gate-All-Around] en technologie SON [Silicon-On-Nothing] : conception, caractérisation et modélisation en vue de l'intégration dans les noeuds CMOS avancés / Samuel Harrison le 2005 [ Aix-Marseille 1 ]
Simulation, conception et caractérisation de microcathodes à émission de champ sur arséniure de gallium : intégration d'une grille de commande / Olivier Yaradou le 2000 [ Lille 1 ]
Modèle dynamique temps-réel pour l'animation d'objets poly-articulés dans des environnements contraints : prise en compte des contacts frottants et des déformations locales : application en robotique humanoïde et aux avatars virtuels / Jean-Rémy Chardonnet le 2009 [ Montpellier 2 ]
Caractérisation physico-chimique, simulation et modélisation d'une technologie analogique avancée BICMOS / Marc Tarabbia le 1993 [ Lyon, INSA ]
Étude de la conception des systèmes embarqués sur silicium : une approche de codesign matériel / logiciel / François Cloute le 2001 [ Toulouse, INPT ]
Conception et réalisation d'un sondeur de canal multi-capteur utilisant les corrélateurs "cinq-ports" pour la mesure de propagation à l'intérieur des bâtiments / Văn Yem Vũ le 2005 [ Paris, ENST ]
Étude des phénomènes de transfert dans un procédé de micro-électrodéposition / Christophe Duchanoy le 1999 [ Vandoeuvre-les-Nancy, INPL ]
Transistor MOSFET à nanofils empilés en 3D avec possibilité de fonctionnement indépendant des grilles (ΦFET) : fabrication et propriétés de transport / Cécilia Dupré le 2008 [ Grenoble INPG ]
Etude et validation de boucles d’asservissement permettant le contrôle avancé des procédés en microélectronique : application à l’étape d’isolation par tranchées peu profondes en technologie CMOS / Djaffar Belharet le 2009 [ Saint-Etienne, EMSE ]
Réalisation d’un dépôt électrolytique de couche d’accroche, en cuivre, pour les structures d’interconnexions avancées en microélectronique / Mariana Amuntencei le 2010 [ Paris 6 ]
Etude de nanotransistors pour la génération et la détection d'ondes TeraHertz / Jérémy Pousset le 2008 [ Montpellier 2 ]
Etude et intégration de jonctions ultra-fines pour les technologies CMOS 45 nm et en deçà / Benjamin Dumont le 2007 [ Lyon, INSA ]
Traitements plasmas post gravure pour l'intégration des matériaux SiOCH poreux dans les interconnexions en microélectronique / Régis Bouyssou le 2009 [ Grenoble 1 ]
Champ de déformation induit dans un monocristal par un réseau de dimensions submicroniques : diffraction des rayons X en mode haute résolution et simulation par éléments finis / Audrey Loubens le 2006 [ Saint-Etienne, EMSE ]
Fabrication et étude physique de dispositifs électroniques à nanotubes de carbone / Lionel Fourdrinier le 2009 [ Grenoble INPG ]
Les processus d'acquisition de ressources technologiques externes / Carine Charpenteau le 2002 [ Paris 11 ]
Transport dans les transistors MOS avancés : exploitation de la magnétorésistance du canal / Wipa Chaisantikulwat le 2008 [ Grenoble INPG ]
Etude de la gravure du carbure de silicium : application à la réalisation de composants de puissance / Frederic Lanois le 1997 [ Lyon, INSA ]
Manipulation of magnetic anisotropy in ferromagnetic semiconductors / Murat Cubukcu le 2010 [ Paris 6 ]
Dispositifs ultra-sensibles pour le nano-adressage électrique : application à la détection de biomolécules / Laurent Malaquin le 2004 [ Toulouse 3 ]
Etude des mécanismes de la gravure isotrope sélective pour des dispositifs avancés de microélectronique / Véronique Caubet-Hilloutou le 2006 [ Orléans ]
Etude des procédés de nettoyage des substrats de silicium en microélectronique / François Tardif le 2002 [ Aix-Marseille 2 ]
Mécanismes d'enlèvement de particules par laser impulsionnel : application au nettoyage pour la microélectronique / David Grojo le 2006 [ Aix-Marseille 2 ]
Test et conception de circuits intégrés digitaux : du niveau comportemental au niveau transferts de registres / Ricardo Pires le 1998 [ Montpellier 2 ]
Méthodologie d'essais accélérés de torsion et de détection de défaillance appliquée aux assemblages électroniques à billes / Wilson Carlos Maia Filho le 2008 [ Bordeaux 1 ]
Analyse statistique de l'impact des variations locales sur les courses de signaux dans une mémoire SRAM embarquée / Michael Yap San Min le 2008 [ Montpellier 2 ]
Analyse des circuits intégrés par laser en mode sonde / Mohamed Mehdi Rebaï le 2014 [ Bordeaux ]
Contributuion à l'élaboration d'un oscillateur ultra-stable spatial miniature: études et réduction de la sensibilité thermique / Luc Schneller le 2009 [ Besançon ]
Miniaturisation des oscillateurs "OCXO" pour applications spatiales / Nikolay Vorobyev le 2016 [ Besançon ]
Synthèse de nanoparticules de cuivre pour la réalisation d'interconnexions en microélectronique / Clément Barrière le 2008 [ Toulouse 3 ]
MOS Capacitor Deep Trench Isolation (CDTI) for CMOS Image Sensors / Nayera Ahmed le 2015 [ Lyon 1 ]
Etude du transport dans les transistors MOSFETs contraints : modélisation multi-échelle / Maxime Feraille le 2009 [ Lyon, INSA ]
Détermination par linéarisation des paramètres caracteristiques dune jonction p-n en microélectronique / Sami Dib le 2001 [ Perpignan ]
Mise au point de technologies adaptées à la réalisation de circuits intégrés monolithiques III-V : application à un circulateur actif en bande X / Jean-Luc Oszustowicz le 1995 [ Lille 1 ]
Methods for protecting intellectual property of IP cores designers / Brice Colombier le 2017 [ Lyon ]
Conception de systèmes programmables basés sur les NoC par synthèse de haut niveau : analyse symbolique et contrôle distribué / Matthieu Payet le 2016 [ Lyon ]
Miniaturisation des antennes large bande à l'aide de matériaux artificiels / Michaël Grelier le 2011 [ Paris, Télécom ParisTech ]
Étude et conception d'opérateurs analogiques en CMOS, pour des applications basses fréquences, faibles tensions et faibles consommations / Aimad El Mourabit le 2005 [ Lyon 1 ]
Caractérisation de couches minces par ondes de surface générées et détectées par sources lasers / Sabrina Fourez le 2013 [ Valenciennes ]
Propriétés thermo-mécaniques des micro-batteries / Feng Xu le 2012 [ Paris 6 ]
Etude de la modification de la source dans l'utilisation de la méthode de co-optimisation source masque en lithographie optique : mise en oeuvre et applications / Clovis Alleaume le 2014 [ Saint-Etienne ]
Approche holistique du contrôle du focus en photolithographie 193nm immersion pour les niveaux critiques en 28nm et 14nm FD-SOI / Jean-Gabriel Simiz le 2016 [ Lyon ]
Analyse de procédés de traitement plasma des résines photosensibles à 193 nm pour le développement de technologies CMOS sub-65 nm / Arnaud Bazin le 2009 [ Grenoble INPG ]
Transistor balistique quantique et HEMT bas-bruit pour la cryoélectronique inférieure à 4. 2 K / Emile Grémion le 2008 [ Paris 11 ]
Elaboration, caractérisation et nouvelle architecture de matériaux composites Al/plaquettes de carbone pour des applications thermiques / Zhengyan Shen le 2020 [ Bordeaux ]
Contribution à la caractérisation électrique et à la simulation numérique des cellules photovoltaïques silicium à hétérojonction / Raphaël Lachaume le 2014 [ Grenoble ]
Développement de techniques de patterning avancées pour les filières CMOS-sub 10nm / Aurélien Sarrazin le 2017 [ Nantes ]
Analyse ultime par Spectrométrie de Masses des Ions Secondaires des matériaux de la microélectronique avancée : contribution à l'interprétation des profils de bore dans le silicium / Nicolas Baboux le 2001 [ Lyon, INSA ]
Etude de matériaux diélectriques pour l’intégration de capacités de haute densité / haute tension dans des structures 3D en silicium / Aude Lefevre le 2014 [ Caen ]
Étude du dopage et de la formation des contacts pour les technologies germanium / Jacques Perrin Toinin le 2016 [ Aix-Marseille ]
Optimisation de la récupération d'énergie dans les applications de rectenna / Salah-Eddine Adami le 2013 [ Ecully, Ecole centrale de Lyon ]
Etude de la fonctionnalisation de structures Si/SiO2 : :(2), Application à la réalisation d'un capteur ionosensible / Pierre Bataillard le 1987 [ Ecully, Ecole centrale de Lyon ]
Optimisation des transferts d'énergie pour les systèmes connectés : application aux systèmes RFID communiquant en champ proche à très haut débit / Benoît Couraud le 2017 [ Aix-Marseille ]
Étude du collage par adhésion moléculaire hydrophile : application au contrôle de l'énergie de collage / Olivier Rayssac le 1999 [ Grenoble INPG ]
Contrôle microstructural du cuivre aux dimensions nanométriques : application à la maîtrise de la résistivité des interconnexions en microélectronique / Vincent Carreau le 2008 [ Grenoble INPG ]
Technologie multifonction de transistors à effet de champ sur matériaux III-V pour logique rapide et hyperfréquences / Hussein Fawaz le 1993 [ Lille 1 ]
Etude du piégeage de l’hydrogène implanté et application au transfert de couches fines de silicium / Aurélie Royal le 2017 [ Toulouse 3 ]
Extension of socs mission capabilities by offering near-zero-power performances and enabling continuous functionality for Iot systems / Guénolé Lallement le 2019 [ Aix-Marseille ]
Cellules photovoltaïques pour la récupération d'énergie et la communication de données / Nominoë Lorrière le 2019 [ Aix-Marseille ]
Caractérisation expérimentale et optimisation de procédés plasma pour la décontamination de l'AMC (Contamination Moléculaire Aéroportée) / Christopher Laurent le 2016 [ Toulouse 3 ]
Signal Integrity - Aware Pattern Generation for Delay Testing / Anu Asokan le 2015 [ Montpellier ]
Caractérisation électrique et modélisation compacte de mémoires à changement de phase / Corentin Pigot le 2019 [ Aix-Marseille ]
How do Mechanics and Thermo mechanics affect microelectronic products : some residual stress and strain effects, investigations and industrial management / Vincent Fiori le 2010 [ Lyon, INSA ]
Nitruration sous ammoniac de couches minces de W déposées sur Si : caractérisations physicochimiques et électriques / Mohamed Benyahya le 1989 [ Grenoble 1 ]
Étude de couches minces de cuivre sur substrat YIG en vue de réaliser des composants magnétiques passifs planaires pour un fonctionnement à haute température / Bonaventure Danoumbé le 2017 [ Lyon ]
Conception en vue du Test des Circuits Intégrés 3D à base de TSVs / Yassine Fkih le 2014 [ Montpellier 2 ]
Architectures d'opérateurs numérique auto-contrôlables / Ting An le 2014 [ Paris, ENST ]
Circuit de récupération d’énergie mécanique pour l’alimentation de capteurs communicants sans fil / Pierre Gasnier le 2014 [ Grenoble ]
System design of a low-power three-axis underdamped MEMS accelerometer with simultaneous electrostatic damping control / Lavinia-Elena Ciotirca le 2017 [ Toulouse, INPT ]
Modélisation et gestion du trafic dans le cadre de réseaux sur puce multi-FPGA / Atef Dorai le 2017 [ Lyon ]
Etude des non-linéarités de permittivité de diélectriques utilisés en microélectronique : application aux capacités MIM [Métal-Isolant-Métal] / Stéphane Bécu le 2006 [ Aix-Marseille 1 ]
Caractérisation et modélisation des mémoires Flash embarquées destinées aux applications faible consommation et à forte contrainte de fiabilité. / Guillaume Just le 2013 [ Aix-Marseille ]
Architectures DRAM [Mémoire dynamique à accès aléatoire] innovantes pour les applications CMOS [Architecture MOS complémentaire] embarquées à haute densité d'intégration / Rossella Ranica le 2005 [ Aix-Marseille 1 ]
Optimisation et réduction de la variabilité d’une nouvelle architecture mémoire non volatile ultra basse consommation / El Amine Agharben le 2017 [ Lyon ]
Test and characterization methodologies for advanced technology nodes / Darayus Adil Patel le 2016 [ Montpellier ]
Caractérisation par spectrométrie de photoélectrons des étapes de réalisations du transistor bipolaire a hétéro jonction gaalas/gaas, et en particulier de la gravure ionique réactive du contact ohmique Gemow sur gaas / Alain Campo le 1994 [ Nantes ]
Etude thermodynamique et structurale de la formation des dépôts minces isolants sur silicium pour la micro-electronique. : Application au systeme NH3/Silicium monocristallin / Khalid Chafik le 1994 [ Lyon, INSA ]
Conception d'éléments rayonnants pour systèmes d'interrogation multi-antennaires en environnements de propagation difficiles et fortement bruités / Rafik Addaci le 2011 [ Nice ]
Détermination simultanée de la mise au point et de la dose d'un équipement de micro-lithographie optique / Nicolas Spaziani le 2012 [ Grenoble ]
Procédé de dépôt de couche barrière-d'adhésion et de cuivre dans des structures 3D pour application microélectronique / Kilian Piettre le 2012 [ Toulouse 3 ]
Mesure de bruit de phase faible coût à l'aide de ressources de test numériques / Stéphane David-Grignot le 2015 [ Montpellier ]
Obtention et caractérisation de couches minces supraconductrices et diélectriques déposées par pulvérisation ionique simple ou réactive / Daniel Bouchier le 1985 [ Paris 11 ]
Extraction d'informations tridimensionnelles d'images obtenues par microscopie électronique en vue de dessus / Jordan Belissard le 2019 [ Université Grenoble Alpes (ComUE) ]
Conception et caractérisation d’un transmetteur électro-optique dans une plateforme photonique sur silicium visant des communications très haut débit / Audrey Michard le 2018 [ Université Paris-Saclay (ComUE) ]
Conception mixte d’un capteur d’images intelligent intégré à traitements locaux massivement parallèles / Juliette Le hir le 2018 [ Université Paris-Saclay (ComUE) ]
Développement de la technique de scattérométrie neuronale dynamique / Ismail El Kalioubi le 2015 [ Université Grenoble Alpes (ComUE) ]
Intégration monolithique en 3D : étude du potentiel en termes de consommation, performance et surface pour le nœud technologique 14nm et au-delà / Alexandre Ayres de sousa le 2017 [ Université Grenoble Alpes (ComUE) ]
Ultra propreté : des microgouttes aux nanoparticules / Adeline Lallart le 2019 [ Université Grenoble Alpes (ComUE) ]
Caractérisation et modélisation de nouvelles capacités «Through Silicon Capacitors» à forte intégration pour la réduction de consommation et la montée en fréquence dans les architectures 3D de circuits intégrés / Khadim Dieng le 2016 [ Université Grenoble Alpes (ComUE) ]
VO2 nanostructures for dynamically tunable nanophotonic devices / Jimmy John le 2020 [ Lyon ]
Modélisation des effets de déplacements atomiques induits par irradiation dans les matériaux pour la microélectronique / Thomas Jarrin le 2021 [ Toulouse 3 ]
Modélisation des phénomènes physiques à l'échelle nanométrique dans les composants de la microélectronique étudiés par holographie électronique operando / Kilian Gruel le 2023 [ Toulouse 3 ]
Chambres à vapeur ultra-minces en silicium embarquées pour l’atténuation de points chauds sur les dispositifs de la microélectronique / Quentin Struss le 2020 [ Lyon ]
Dépôt chimique de tungstène à partir de la phase gazeuse hexafluorure de tungstène-silane-hydrogène en vue d'application en connectique / Thierry Charrier le 1994 [ Angers ]
Une méthode de mise au point des circuits intégrés / Chafik Kara-Terki le 1986 [ Paris 6 ]
Conception et caractérisation de filtres optiques et de VCSELs accordables à base de micro système sur substrat InP pour les Réseaux optiques multiplexés en longueur d'onde / Aldrice Georra Bakouboula le 2004 [ Lyon, INSA ]
Passivation de la surface de l'InP par des éléments de la colonne V pour structures MIS / Jacques Chave le 1987 [ Ecully, Ecole centrale de Lyon ]
Impact of geometry on charge trap non volatile memories / Étienne Nowak le 2010 [ Grenoble INPG ]
Maîtrise de la variabilité des procédés de fabrication par le développement de modèles de régulation / Séverine Marquet le 2008 [ Université Joseph Fourier (Grenoble ; 1971-2015) ]
Une méthode analytique pour l’évaluation de performance des réseaux sur puce / Sahar Foroutan le 2010 [ Grenoble INPG ]
Peignes de fréquences optiques par effet Kerr dans les micro et mini résonateurs optiques / Napoléon Gutierrez le 2019 [ Toulouse 3 ]
Epitaxie en phase vapeur aux organométalliques et caractérisation de semi-conducteur III-As sur substrat silicium dans une plateforme microélectronique / Romain Cipro le 2016 [ Université Grenoble Alpes (ComUE) ]
Etude et modelisation numerique du fonctionnement de microvalves / Gabor Balint le 2001 [ Toulouse, INSA ]
Les composés intermétalliques du système Ag-Sn et l'électronique de puissance / Roland Mahayri le 2021 [ Paris 13 ]
Hardening study of 28nm FDSOI technology at very high doses of ionizing radiation / Alejandro Urena acuna le 2021 [ université Paris-Saclay ]
Etude de l'apport des lentilles de Fresnel pour la vision / Tatiana Grulois le 2015 [ Université Paris-Saclay (ComUE) ]
Stabilisation grande surface de semi-conducteurs bidimensionnels pour une intégration dans des composants discrets / Pierre Brus le 2021 [ université Paris-Saclay ]
Evaluation de Réticules Avancés : Propriétés optiques des réticules et prise en compte de leur processus de fabrication dans l’amélioration des modèles OPC pour étendre les fenêtres de procédés en lithographie optique par immersion, pour les noeuds technologiques 28nm et 14nm / Nacer Zine el abidine le 2017 [ Université Grenoble Alpes (ComUE) ]
Miniaturisation extrême de mémoires STT-MRAM : couche de stockage à anisotropie de forme perpendiculaire / Nicolas Perrissin fabert le 2018 [ Université Grenoble Alpes (ComUE) ]
Electrical characterization of fully depleted SOI devices based on C-V measurements / Blend Mohamad le 2017 [ Université Grenoble Alpes (ComUE) ]
Etude de la dégradation de la protection par des résines photosensibles de la grille métallique TiN lors de gravures humides pour la réalisation de transistors de technologies sub-28nm / Mathieu Foucaud le 2015 [ Université Grenoble Alpes (ComUE) ]
Mesure de déformation et cristallinité à l'échelle nanométrique par diffraction électronique en mode précession / Mathieu Pierre Vigouroux le 2015 [ Université Grenoble Alpes (ComUE) ]
Caractérisation électrique et modélisation du transport dans matériaux et dispositifs SOI avancés / Fanyu Liu le 2015 [ Université Grenoble Alpes (ComUE) ]
Etude des défauts induits par recuit laser excimère dans le silicium / Richard Monflier le 2019 [ Toulouse 3 ]
Développement d’une technique de caractérisation pour la mesure de déformation et de composition chimique à l’échelle nanométrique appliquée aux dispositifs avancés de la microélectronique / Loïc Henry le 2021 [ Université Grenoble Alpes ]
Développement de microcapteurs pour la mesure de dioxyde de carbone (CO2) : application au suivi de la qualité de l’air / Fabien Le Pennec le 2022 [ Aix-Marseille ]
Evolution des masques en lithographie optique : étude et application des masques à transition de phase / Emilien Robert le 2006 [ Grenoble INPG ]
Couches minces de fluorures du groupe II-A : application à la passivation de InP / Hervé Ricard le 1986 [ Bordeaux 1 ]
Modelling and Simulation of the IR-Drop phenomenon in integrated circuits / Marina Aparicio Rodriguez le 2013 [ Montpellier 2 ]
Nanoparticules mono- et bimétalliques pour la métallisation de microvias par un procédé innovant utilisant les liquides ioniques / Philippe Arquillière le 2012 [ Lyon 1 ]
Architectures avancées des transistors FinFETS : réalisation, caractérisation et modélisation / Romain Ritzenthaler le 2006 [ Grenoble INPG ]
Méthodologie d'évaluation par simulation de la sécurité des circuits face aux attaques par faute / Olivier Faurax le 2008 [ Aix-Marseille 2 ]
Caractérisation de nitrures diélectriques déposés par pulvérisation ionique réactive : applications en microélectronique / Alain Bosseboeuf le 1989 [ Paris 11 ]
Contribution à l'étude de dispositifs multifonctions : étude de l'association des fonctions de filtrage et de rayonnement en microélectronique hybride / Frédéric Queudet le 2006 [ Nantes ]
Modulation du travail de sortie de grilles métalliques totalement siliciurées pour des dispositifs CMOS déca-nanométriques / Delphine Aime le 2007 [ Lyon, INSA ]
Contribution à l'évaluation de la technique de génération d'harmonique par faisceau laser pour la mesure des champs électriques dans les circuits intégrés (EFISHG) / Thomas Fernandez le 2009 [ Bordeaux 1 ]
Conception sur silicium de convertisseurs analogique-numérique haut débit pour le radiotélescope SKA / Bruno Da Silva le 2010 [ Orléans ]
Etude de la recristallisation du silicium par procédé laser nanoseconde pour la formation et le contrôle des jonctions ultraminces / Mohamed Darif le 2011 [ Orléans ]
Synthèse de nanotubes de carbone pour l'obtention de vias d'interconnexions électriques et de drains thermiques / Hermane Mbitsi le 2010 [ Orléans ]
Etude de l'intégration de vias traversants réalisés par MOCVD en vue de l'empilement en 3D des composants microélectroniques / Monica Larissa Djomeni Weleguela le 2014 [ Strasbourg ]
Synthèse par faisceaux d'ions de nanocristaux semi-conducteurs fonctionnels en technologie silicium / Rim Khelifi le 2015 [ Strasbourg ]
Conception d'un système intégré ultra basse tension pour l'électroencéphalographie / Alfredo Farid Bautista Delgado le 2009 [ Grenoble 1 ]
Miniaturisation et intégration d'antennes imprimées pour systèmes communicants ULB pulsés / Ali Chami le 2011 [ Nice ]
Etude et caractérisation du matériau CuAI1% utilisé en tant que couche de germination pour améliorer les performances de fiabilité des interconnexions des technologies 45 nm et ultérieures / Thomas Vanypre le 2008 [ Lyon, INSA ]
Définition d'une représentation intermédiaire basée sur une approche service pour le prototypage virtuel de systèmes sur puce / Alexandre Chureau le 2008 [ Grenoble INPG ]
Fluctuations et courant hors-équilibre en nanophysique / Rodolphe Guyon le 2004 [ Aix-Marseille 2 ]
Réalisation et étude de composants passifs d'optique intégrée sur substrat silicium sur isolant pour les interconnexions optiques / Nicolas Schnell le 2005 [ Lyon, INSA ]
Forces capillaires : une solution d'avenir pour les microsystèmes / Jean Berthier le 2011 [ Paris 6 ]
Conception et réalisation d'une matrice de microéjecteur thermique adressable individuellement pour la fonctionnalisation de biopuce / David Jugieu le 2005 [ Toulouse, INPT ]
Microcaractérisation de matériaux de la microélectronique utilisant la méthode de la signature acoustique V(z) / Christine Amaudric du Chaffaut le 1990 [ Montpellier 2 ]
Etude d'un matériau diélectrique poreux de type SiOCH : effet des post-traitements plasma et de nettoyage et intégration / Wilfried Puyrenier le 2007 [ Montpellier 2 ]
Angle resolved Mueller polarimetry, applications to periodic structures / Clément Fallet le 2011 [ Palaiseau, Ecole polytechnique ]
Propriétés physiques de films minces de phtalocyanines adsorbées sur des semi-conducteurs III-V / Eric Salomon le 2005 [ Aix-Marseille 1 ]
Conception, réalisation et caractérisation d'un microphone miniaturisé en technologie silicium / Tarik Bourouina le 1991 [ Paris 12 ]
Physique et technologie du brasage tendre par faisceau laser / Cédric Chaminade le 2006 [ Université Louis Pasteur (Strasbourg) (1971-2008) ]
Etude et réalisation de transistors à nanotubes de carbone pour la détection sélective de gaz / Louis Gorintin le 2011 [ Palaiseau, Ecole polytechnique ]
Etude, développement et validation d'un concept d'architecture électronique sans temps mort pour TEP de haute sensibilité / Pierre Etienne Vert le 2007 [ Clermont-Ferrand 2 ]
Études des contraintes mécaniques dans les édifices d'interconnexions d'un procédé submicronique / Philippe Le Duc le 1999 [ Lille 1 ]
Electronique de comptage de photons pour détecteur à pixels hybrides / Ivane Koudobine le 2005 [ Aix-Marseille 2 ]
Préparation de surface avant épitaxie et réalisation de dépôts de Si et Si1-xGex pour applications dans les technologies CMOS avancées / Thomas Kormann le 2011 [ Caen ]
Contribution à l'étude des plots métalliques disposés périodiquement pour les circuits micro électroniques : application aux télécommunications (blindage, guidage, filtrage, antenne, matériaux à gap photonique) / Ali Zeid le 2001 [ Toulouse, INPT ]
Caractérisations électriques des structures MOS à nanocristaux de Ge pour des applications mémoires non volatiles / Mehdi Kanoun le 2004 [ Lyon, INSA ]
Caractérisation du vieillissement électrique de transistors microniques n-Mos par l'étude de la jonction substrat-drain / Marc Faurichon de la Bardonnie le 1996 [ Perpignan ]
Dépôts par ESD et ALD et caractérisations physico-chimiques de couches d'oxydes à l'échelle nanométrique pour la microélectronique / Amélie Lintanf le 2008 [ Grenoble INPG ]
Optimisation de la filtration en relation avec la qualité de l'air fourni à des salles à empoussièrement contrôlé / Nathalie Lemaitre le 1991 [ Paris 12 ]
Contributions à l'étude d'antennes miniatures accordables sur une large bande pour terminaux mobiles en bande UHF / Mohamed Abdallah le 2009 [ Rennes 1 ]
Étude et modélisation des mécanismes de transport et de collection de charges dédiées à la prédiction de SEE dans les technologies fortement intégrées / Laurent Artola le 2011 [ Toulouse, ISAE ]
Synthèse et réalisations avec des zéros de transmission de filtres planaires miniaturisés à topologies fractales en substrat suspendu / Elias Hanna le 2006 [ Bordeaux 1 ]
Silicum pour applications optoélectroniques / Hicham Toufik le 2009 [ Perpignan ]
Caractérisation de matériaux semi-isolants par spectroscopie de transitoire de courant photoinduit : matériaux InP dopés Fe pour la micro-optoélectronique et CdZnTe pour la détection nucléaire / Karim Cherkaoui le 1998 [ Lyon, INSA ]
Etude et fabrication de transistors bipolaires à hétérojonctions Si/SiGe (TBH) intégrés dans une filière BiCMOS industrielle 0. 5µ / Elisabeth de Berranger-Marinet le 1998 [ Lyon, INSA ]
Système d'aide au diagnostic par apprentissage : application aux systèmes microélectroniques / Abderrahmane Boubezoul le 2008 [ Aix-Marseille 3 ]
Caractérisation électrique de l'endommagement par électromigration des interconnexions en cuivre pour les technologies avancées de la microélectronique / Lise Doyen le 2009 [ Grenoble 1 ]
Intégration monolithique de matériaux III-V et de Ge sur Si en utilisant des buffers oxydes cristallins / Jun Cheng le 2010 [ Ecully, Ecole centrale de Lyon ]
Réalisation de sources laser III-V sur silicium / Tiphaine Dupont le 2011 [ Ecully, Ecole centrale de Lyon ]
Etude et mise en place d'outils de caractérisation électrique basés sur la technique AFM, en vue de la localisation de défauts au niveau des zones de dopage / Thomas Delaroque le 2010 [ Caen ]
Silicium poreux pour capteurs et MEMS résonants intégrés / Arnaud Porcher le 2009 [ Lyon, INSA ]
Elaboration d'une méthode de prédiction du taux d'aléas logiques induits dans les mémoires SRAM par les neutrons atmosphériques / Guillaume Hubert le 2002 [ Montpellier 2 ]
Nouvelle méthode pour les évaluations de qualité et de fiabilité des composants pour la microélectronique / Wahiba Tazibt le 2006 [ Perpignan ]
Conception de systèmes analogiques : méthodologie et environnement de prototypage / Stéphane Colancon le 2001 [ Montpellier 2 ]
Conception et caractérisation de filtres et systèmes antennaires reconfigurables chargés par des résonateurs Métamateriaux sub-longueurs d’onde / Hicham Lalj le 2014 [ Rennes, INSA ]
Développement de méthodes de caractérisation chimiques de surface en support à l’amélioration des procédés de la microélectronique avancée / Anthony James le 2015 [ Lyon 1 ]
Étude des mécanismes de dégradation de la mobilité sur les architectures FDSOI pour les noeuds technologiques avancés (<20nm) / Sébastien Guarnay le 2015 [ Paris 11 ]
Développement de capteurs intégrés pour micropompes MEMS : applications biomédicales / Arnaud Salette le 2012 [ Grenoble ]
Greffage de polyoxométallates hybrides sur surfaces planes / Corentin Rinfray le 2014 [ Paris 6 ]
Etude des mécanismes de diffusion dans les alliages HgCdTe pour la détection infrarouge / Thomas Grenouilloux le 2017 [ Strasbourg ]
Etude et mise au point de composants magnétiques multicouches pour intégration en électronique de puissance / Edouard Brun le 2014 [ Paris 11 ]
Synthèse de couches minces de carbone vitreux sur substrat de silicium par ablation et irradiation laser / Hatem Diaf le 2021 [ Lyon ]
Germanosiliciuration à base de Ni et d’alliage Ni1-xPtx pour le p-MOS 14 nm FDSOI / Emilie Bourjot le 2015 [ Aix-Marseille ]
Synthèse par épitaxie et propriétés magnétiques des semiconducteurs ferromagnétiques dilués à base de GeMn / Giang Le thi le 2012 [ Aix-Marseille ]
Conception de circuits en logique ternaire : de la caractérisation au niveau transistor à la modélisation architecturale / Ékué Kinvi-Boh le 2006 [ Rennes 1 ]
Utilisation du FIB pour la nanostructuration et l'auto-assemblage de réseaux de nano-objets pour des applications microélectroniques / Guillaume Amiard le 2012 [ Aix-Marseille ]
Effet des nanograins métalliques sur les propriétés de détection des capteurs de gaz à base de WO3 / Mehdi Othman le 2015 [ Aix-Marseille ]
Développement de dispositifs à base de composants 1D pour applications basse consommation et intelligence ambiante / Julien Thielleux le 2012 [ Lille 1 ]
Étude thermodynamique et expérimentale de mélanges d'oxydes à basse température de fluage pour l'électronique / Guy Baret le 1989 [ Grenoble INPG ]
Thermométrie submicrométrique par fluorescence : caractérisation de micro et nanostructures en milieux sec et liquide / Peter Löw le 2008 [ Toulouse 3 ]
Gravure profonde du silicium par le procédé cryogénique : application à la réalisation de trous traversants : optimisation du procédé, mécanismes réactionnels en phase gazeuse et interaction plasma/silicium / Thomas Tillocher le 2006 [ Orléans ]
Étude et optimisation d’un capteur d’images à collection de trous : réduction des tensions de fonctionnement et des dimensions du pixel PhotoGate / Thomas Dalleau le 2021 [ Lyon ]
Etude du Convertisseur Temps-Numérique de très haute précision pour des applications en Physique des particules pour les mises à jour du détecteur CMS auprès du LHC / Amina Annagrebah le 2021 [ Lyon ]
Capteurs intégrés pour la fiabilisation des technologies d'encapsulation en microélectronique / Aurore Quelennec le 2018 [ Bordeaux ]
Méthodes socio-statistiques pour l’aide à la décision en milieu industriel : Application à la gestion des capacités d’un système d’information en industrie micro-électronique / Michel Lutz le 2013 [ Saint-Etienne, EMSE ]
Etude de la fiabilité des technologies CMOS avancées, depuis la création des défauts jusqu'à la dégradation des transistors / Yoann Mamy Randriamihaja le 2012 [ Aix-Marseille ]
Architecture of Silicon Photonic Links / Robert Polster le 2015 [ Paris 11 ]
Méthode d'analyse de données pour le diagnostic a posteriori de défauts de production - Application au secteur de la microélectronique / Hasna Yahyaoui le 2015 [ Saint-Etienne, EMSE ]
Characterization and modeling of advanced charge trapping non volatile memories. / Vincenzo Della Marca le 2013 [ Aix-Marseille ]
Développement des méthodes génériques d'analyses multi-variées pour la surveillance de la qualité du produit / Mariam Melhem le 2017 [ Aix-Marseille ]
Diagnostic des équipements de production de semi-conducteurs par analyse statistique / Julien Marino le 2017 [ Aix-Marseille ]
Contribution à la modélisation et aux simulations en compatibilité électromagnétique des câbles et des circuits microélectroniques / Andriamanantsoa Ratsimandresy le 1994 [ Toulouse, INPT ]
Apprentissage Statistique en Domaine Circulaire Pour la Planification de Contrôles en Microélectronique / Esperan Padonou le 2016 [ Lyon ]
Enjeux de siliciuration pour des technologies avancées de la microélectronique : étude de l'interaction entre les siliciures de NiPt et le phosphore / Mathilde Lemang le 2018 [ Aix-Marseille ]
A new approach for the optimization of Implantable NMR micro-probe for In-vivo Application : Alzheimer’s disease / José Antonio Bernardo le 2022 [ Lyon ]
Fabrication additive assisté laser de matériaux composites 3D et revêtement diamant par CVD / Loic Constantin le 2020 [ Bordeaux ]
Etude de caractérisation de matériaux diélectriques de grille à forte permittivité pour les technologies CMOS ultimes / Youjean Chang le 2003 [ Lyon, INSA ]
Etude du potentiel des nanotubes de carbone dans la microélectronique de puissance / Thibault Labbaye le 2015 [ Orléans ]
Impact des chimies de nettoyage et des traitements plasma sur les matériaux diélectriques à basse permittivité / Matthieu J. Lépinay le 2014 [ Montpellier 2 ]
Réalisation d’une caméra infrarouge à bas coût pour application grand public / Charles Sion le 2011 [ Lille 1 ]
Développement d'une méthodologie de conception matériel à base de modules génériques VHDL/VHDL-AMS en vue d'une intégration de systèmes de commande électriques / Youssef Kebbati le 2002 [ Université Louis Pasteur (Strasbourg) (1971-2008) ]
Micro et nano-patterning de polymères conducteurs pour des applications biomédicales / Mohammed Elmahmoudy le 2017 [ Lyon ]
Nouvelles approches d'intégration pour les microsystèmes optiques / Jérôme Valentin le 2004 [ Toulouse, INSA ]
Study and development of energy harvesters based on triboelectric nanogenerators for autonomous iot nodes and smart systems / Aravindnarain Ravichandran le 2021 [ Lyon ]
Etude et développement de méthodes préventives et curatives contre les escarres : détection par réseau de capteur sur textile et traitement par patch d'électrostimulation et iontophorèse / Maxime-Jean Chaudon le 2021 [ Lyon ]
Conception, fabrication et caractérisation de cellules photovoltaïques multijonctions à contacts en vias traversants / Mathieu De Lafontaine le 2021 [ Université Grenoble Alpes ]
Développement d'un dispositif microfluidique pour la détection de formaldéhyde à l'état gazeux / Daniel Mariuta le 2022 [ Toulouse, INSA ]
Modélisation et caractérisation de matériaux et nanostructures pour les applications photovoltaïques / Zuzana Mrazkova le 2017 [ Université Paris-Saclay (ComUE) ]
Caractérisation par faisceaux d’ions d’hétérostructures III-V pour les applications micro et optoélectroniques / Viktoriia Gorbenko le 2015 [ Université Grenoble Alpes (ComUE) ]
Compréhension de l'apport des contraintes mécaniques sur les performances électriques des transistors avancés sur SOI / Anouar Idrissi-El Oudrhiri le 2016 [ Université Grenoble Alpes (ComUE) ]
Films minces et dispositifs à base de LixCoO₂ pour application potentielle aux mémoires résistives non volatiles / Van-Son Nguyen le 2017 [ Université Paris-Saclay (ComUE) ]
Conversion numérique de signaux biologiques de faibles intensités pour les applications biomédicales / Béatrice Guénégo le 2023 [ université Paris-Saclay ]
Security and Trust for Wireless Integrated Circuits / Alán Rodrigo Díaz Rizo le 2023 [ Sorbonne université ]
Compact modeling of magnetic tunnel junctions and design of hybrid CMOS-magnetic integrated circuits / Wei Guo le 2010 [ Grenoble INPG ]
Study and optimization of very high voltage capacitors integrated on a silicon substrate / Larry Buffle le 2020 [ université Paris-Saclay ]
Étude et modélisation des mécanismes de dégradation aux températures cryogéniques des propriétés électriques des circuits de lecture de détecteur infrarouge sous rayonnement ionisant / Gaëtan Cussac le 2022 [ Toulouse, ISAE ]
Etude et intégration de mémoires résistives 3D pour application haute densité / Giuseppe Piccolboni le 2016 [ Université Grenoble Alpes (ComUE) ]
Nouvelle méthodologie hybride pour la mesure de rugosités sub-nanométriques / Jérôme Reche le 2019 [ Université Grenoble Alpes (ComUE) ]
Miniaturisation et fiabilité des interconnexions copper pillar sur desassemblages de type « System In Package » (sip) pour le domaine aéronautique / Adrien Morard le 2019 [ Université Grenoble Alpes (ComUE) ]
Etude et conception de circuits innovants exploitant les caractéristiques des nouvelles technologies mémoires résistives / Vincent Lorrain le 2018 [ Université Paris-Saclay (ComUE) ]
Gestion adaptive de l'alimentation des objets connectés limités en ressources / Sota Sawaguchi le 2021 [ Université Grenoble Alpes ]
Etude et intégration d’un circuit analogique, basse consommation et à faible surface d'empreinte, de neurone impulsionnel basé sur l’utilisation du BIMOS en technologie 28 nm FD-SOI / Thomas Bedecarrats le 2019 [ Université Grenoble Alpes (ComUE) ]
Study and design of integrated laser diode driver for 3D-depth sensing applications / Romain David le 2021 [ Lyon ]
Développement de nouveaux électrolytes liquides ioniques pour microsupercondensateurs à base d'oxydes métalliques / Jensheer Shamsudeen Seenath le 2023 [ Toulouse 3 ]
Croissance de diélectrique à forte permittivité par la technique MOCVD en phase liquide pulsée : élaboration, et caractérisation de films de HfO2 / Karen Dabertrand le 2006 [ Grenoble 1 ]
Elaboration de couches épaisses piézoélectriques déposées sur substrats pour des applications microtechniques / Sarah Le Dren le 2000 [ Lyon, INSA ]
Élaboration et caractérisation des interconnexions pour les nœuds technologiques CMOS 32 et 22 nm / Karim Hamioud le 2010 [ Lyon, INSA ]
Etude de nouvelles voies de passivation non polymérisante pour la gravure profonde du silicium / Corinne Duluard le 2009 [ Orléans ]
Etude et optimisation du procédé STiGer de gravure profonde du silicium / Laurianne Pichon-Balmadier le 2010 [ Orléans ]
Conception et réalisation d'un microsystème pour la mesure d'encrassement organique, minéral et biologique dans les procédés - : intégration des régimes thermiques périodiques. / Jonathan Crattelet le 2010 [ Toulouse, INSA ]
Analyse des contraintes mecaniques et de la resistivite des interconnexions de cuivre des circuits integres : role de la microstructure et du confinement geometrique / Renaud Vayrette le 2011 [ Saint-Etienne, EMSE ]
Structuration d'un flot de conception pour la biologie synthétique / Yves Gendrault le 2013 [ Strasbourg ]
Modélisation et intégration de couches minces magnétiques à haute perméabilité dans des dispositifs hyperfréquences / Damien Rialet le 2010 [ Rennes 1 ]
Réfrigérateur thermoacoustique "compact" : modélisation, conception, évaluation / Bertrand Lihoreau le 2002 [ Le Mans ]
Etude de la formation du siliciure de titane par réaction directe : Influence et redistribution des dopants / Meriem Berrabah le 1994 [ Lyon, INSA ]
Etude, fabrication et propriétés de transport de transistors CMOS associant un diélectrique haute permittivité et un canal de conduction haute mobilité / Olivier Weber le 2005 [ Lyon, INSA ]
Caractérisation hyperfréquence et in-situ de diélectriques à permittivité élevée en vue de leur intégration dans des composants passifs en microélectronique avancée / Thu Trang Vo le 2009 [ Chambéry ]
Etude de la faisabilité de caissons traversant dans le silicium pour application aux composants de puissance / David Barge le 2001 [ Aix-Marseille 3 ]
Nouveaux conducteurs moléculaires dérivés de complexes de coordination / Brigitte Pomarede le 1992 [ Toulouse 3 ]
Développement de procédés de gravure de grille métallique W, WN pour les noeuds technologiques sub-45 nm / Thomas Morel le 2009 [ Grenoble 1 ]
Conception et réalisation de bio-capteurs électromagnétiques pour l'analyse cellulaire aux fréquences micro-ondes / Claire Dalmay le 2009 [ Limoges ]
Etude des propriétés et de l'intégration de barrières auto-positionnées sur cuivre formées par des procédés de siliciuration et de dépôts auto-catalytiques dans les interconnexions des circuits intégrés microélectroniques des générations 45 nm / Sonarith Chhun le 2006 [ Lyon, INSA ]
Conception, réalisation et test d'un capteur électronique Si-LiF-Si destiné à la spectrométrie et à la dosimétrie des neutrons / Aminata Ndoye Gueye le 1998 [ Limoges ]
Procédés thermiques rapides pour la réalisation de diélectriques ultra-minces sur silicium. Caractérisation par spectroellipsométrie / Nathalie Gonon le 1993 [ Lyon, INSA ]
Intégration sur silicium des capteurs et des fonctions de traitement de signal généré par des rayonnements nucléaires : application à la mesure du radon / Ragheb Hijazi le 2012 [ Limoges ]
Analyse de l'apport des technologies d'intégration tri-dimensionnelles pour les imageurs CMOS : application aux imageurs à grande dynamique / Fadoua Guezzi Messaoud le 2014 [ Paris Est ]
Développement de technologies de fabrication de transistors bipolaires en VLSI / Nicolas Degors le 1992 [ Lyon, INSA ]
Les procédés par plasmas impliqués dans l'intégration des matériaux sioch poreux pour les interconnexions en microélectronique / Maxime Darnon le 2007 [ Grenoble 1 ]
Intégration du copolymères P(VDF-TrFE) à une nouvelle technologie de capteurs pyroélectriques : application à la détection d'empreintes digitales / Claire Vacher le 2007 [ Montpellier 2 ]
Réalisation de jonctions pn dans le SiC-6H par implantation ionique d'aluminium / Laurent Ottaviani le 1999 [ Lyon, INSA ]
Modeling and design of a miniature high precision linear stage on ball bearings / Zidong Yin le 2010 [ Compiègne ]
Evaluation d'injection de fautes Laser et conception de contre-mesures sur une architecture à faible consommation / Nicolas Borrel le 2015 [ Aix-Marseille ]
Mesure et modélisation du bruit de fond électrique basse fréquence dans les transistors intégrés MOS pour l'exploration des pièges et des défauts dans les technologies SOI récentes / Isabelle Lartigau le 2004 [ Caen ]
Microscopie magnétique pour localisation de défaillance de circuits intégrés / Olivier Crépel le 2004 [ Caen ]
Contribution à l'étude de la conversion analogique-numérique sigma-delta intégrée dans une chaîne de réception radiofréquence pour les applications cellulaires / Yann Le Guillou le 2005 [ Caen ]
Development of a CMOS pixel sensor for embedded space dosimeter with low weight and minimal power dissipation / Yang Zhou le 2014 [ Strasbourg ]
Systèmes intégrés pour l'hybridation vivant-artificiel : modélisation et conception d'une chaîne de détection analogique adaptative / François Rummens le 2015 [ Bordeaux ]
Amplificateurs CMOS faible bruit pour applications sonar / Jean-Paul Bardyn le 1990 [ Lille 1 ]
Évaluation et pilotage des activités de recherche dans la R&D centrale de STMicroelectronics : nouveaux principes de management de la recherche industrielle pour l'innovation de rupture / Yacine Felk le 2011 [ Paris, ENMP ]
Synthèse et caractérisations de couches minces de matériaux piézoélectriques sans plomb / Sébastien Quignon le 2013 [ Valenciennes ]
Étude d’un protocole de communication asynchrone faible consommation à lien radio impulsionnel ultra-large bande : implémentation sur silicium des fonctions RF critiques / Abderrahmane Haloua le 2018 [ Aix-Marseille ]
Collage direct sur surfaces structurées / Damien Radisson le 2014 [ Grenoble ]
Tlmdt : une stratégie de modélisation basée sur temps distribué pour la simulation prallèle de systèmes multi-processeurs intégrés sur puce / Aline Vieira De Mello le 2013 [ Paris 6 ]
Fabrication d'une sonde pour le champ proche optique en technologie sol-gel / Bachar Mourched le 2012 [ Montpellier 2 ]
Techniques de conception d'oscillateurs contrôlés en tension à très faible bruit de phase en bande Ku intégrés sur silicium en technologie BiCMOS / Jérémy Hyvert le 2016 [ Poitiers ]
Étude et réalisation d'un duplexeur SOI accordable multibande pour les futures générations de systèmes de téléphonie mobile / Zakaria Settaf le 2016 [ Poitiers ]
Elaboration de masques nano poreux de polymères et gravure profonde du silicium / Alexane Vital le 2016 [ Orléans ]
Contribution au développement d'une technologie d'intégration tridimensionnelle pour les capteurs d'images CMOS à pixels actifs / Perceval Coudrain le 2009 [ Toulouse, ISAE ]
Contribution à l'étude des propriétés thermiques de céramiques à base de nitrure d'aluminium / Abdeslem Hafidi le 1992 [ Limoges ]
Etude des effets parasites du transistor à haute mobilité électronique (HEMT) sur InP pour applications micro-optoélectroniques / Christelle Aupetit-Berthelemot le 1998 [ Limoges ]
Contribution à la réduction de l'émission parasite des micro-contrôleurs en CMOS sub-micronique / Sébastien Calvet le 2003 [ Toulouse, INSA ]
Mécanismes physico-chimiques dans le procédé de gravure plasma du Silicium / Xavier Mellhaoui le 2006 [ Orléans ]
Un ensemble potentiostatique pilote par micro-ordinateur (ELEKTRO KEMAT). Application à la résolution de problèmes chimiques grâce à l'emploi d'ultramicroélectrodes / Jean-Bernard Tommasino le 1992 [ Toulouse 3 ]
Formation and segregation in cobalt silicide and manganese germanide thin films : correlative study by electron microscopy and atom probe tomography / Hannes Zschiesche le 2019 [ Aix-Marseille ]
Development of a CdTe spectro-imaging for space application / David Baudin le 2020 [ université Paris-Saclay ]
Caractérisation électrique et optique d'hétérostructures Si/SiGe/Si pour applications aux transistors à effet de champ à canal p-SiGe à grille isolée ou non isolée / Hugo Gamez-Cuatzin le 1998 [ Lyon, INSA ]
Conception d’ASICs Mixtes Durcis aux Radiations pour Observatoires Spatiaux / Si Chen le 2019 [ Université Paris Cité ]
Multi-level fault-tolerance in network-on-chip / Claudia Rusu le 2010 [ Grenoble INPG ]
Substrats et dispositifs avancés sur germanium-sur-isolant (GeOI) : caractérisation, modélisation et simulation / William Van den Daele le 2010 [ Grenoble INPG ]
Nano-fonctionnalisation par FIB haute résolution de silicium / Imene Guellil le 2022 [ Aix-Marseille ]
Formation et caractérisation de jonctions PN dans du SiC-4H par implantation ionique et recuit laser / Christian Dutto le 2003 [ Université Louis Pasteur (Strasbourg) (1971-2008) ]
Développement et amélioration de structures mobiles embarquées dans les interconnexions des puces microélectroniques : Etude du contact mécanique et électrique / Sebastian Orellana le 2016 [ Paris Sciences et Lettres (ComUE) ]
Métrologie Hybride pour le contrôle dimensionnel en lithographie / Nivea Griesbach schuch le 2017 [ Université Grenoble Alpes (ComUE) ]
Spintronique avec des matériaux 2D ˸ Manipulation des Interfaces / Victor Zatko le 2022 [ université Paris-Saclay ]
Analyse multivariée des alarmes de diagnostic en vue de la prédiction de la qualité des produits / Mohammed Al-Kharaz le 2021 [ Aix-Marseille ]
Propriétés de stockage de charges de nanocristaux de germanium incorporés dans des couches de silice par implantation ionique / Sébastien Duguay le 2006 [ Université Louis Pasteur (Strasbourg) (1971-2008) ]
Approximation analytique de la solution d'équations différentielles partielles par le réseau de neuronesartificiels : application à la simulation thermique dans les microsystèmes / Efrain Jaime-Ang le 2004 [ Toulouse, INSA ]
Transistor Quantique InAs à Electrons Chauds : Fabrication submicronique et étude à haute fréquence / Hoang Nguyen Van le 2012 [ Montpellier 2 ]
Etude de la dynamique de charges par microscopie à force électrostatique : exemple des isolants à grande constante diélectrique / Jérôme Lambert le 2003 [ Paris 7 ]
Etude numérique et expérimentale des phénomènes de fissuration dans les architectures avancées de la microélectronique / Sébastien Gallois-Garreignot le 2010 [ Lyon, INSA ]
Etude et faisabilité d'une microcaméra laser 3D / Christophe Bricout le 1996 [ Toulouse 3 ]
Contribution à l'étude mécanique et électrique du contact localisé : adaptation de la nanoidendentation à la micro-insertion / Mamadou Diobet Diop le 2009 [ Saint-Etienne, EMSE ]
Effet tunnel photonique appliqué à la caractérisation des semi-conducteurs III-V et à la microconnexion / Christel Prioleau le 1997 [ Montpellier 2 ]
Résines photosensibles pour microlithographie développables par plasma oxygène : (étude et mise au point) / Olivier Maurin le 1986 [ Montpellier 2 ]
Mise au point de matériaux barrières diélectriques de type 1-SiC:H à faible permittivité déposés par PECVD pour réduire la capacité intermétallique dans les interconnexions avancées des circuits intégrés / Cédric Charles-Alfred le 2009 [ Nantes ]
Mesure de l’adhérence et des propriétés mécaniques de couches minces par des essais dérivés de la nanoindentation. Application à la microélectronique et au biomédical / Sébastien Roy le 2008 [ Paris, ENMP ]
Etude de la résolution en profondeur lors de l'analyse par spectrométrie de masse des ions secondaires : détermination de la fonction de résolution pour le bore dans le silicium, mise au point d'une procédure de déconvolution et applications / Brice Gautier le 1997 [ Lyon, INSA ]
Development of automated frequency and time-domain radiated electromagnetic emission models for microelectronic applications / Abhishek Ramanujan le 2011 [ Rouen ]
Technologie FeRAM : fiabilité et mécanismes de défaillance de condensateurs ferroélectriques et intégrés / Nicolas Menou le 2004 [ Toulon ]
Elaboration par pulvérisation cathodique et caractérisations électriques de films minces de PZT / Gwenaël Le Rhun le 2004 [ Caen ]
Design and validation of innovative integrated circuits and embedded systems for neurostimulation applications / Jonathan Castelli le 2017 [ Bordeaux ]
Mise au point et optimisation d'étapes de gravure par plasma dans la fabrication de procédés BiCMOS haute fréquence / Jérôme Lenormand le 2001 [ Caen ]
Propriétés mécaniques et structurales d'encapsulants polymères utilisés en microélectronique : effet de la température et de l'humidité / Kenza Ayche le 2017 [ Le Mans ]
Modélisation de la diffusion des dopants dans le silicium pour la réalisation de jonctions fines / Frédéric Boucard le 2003 [ Université Louis Pasteur (Strasbourg) (1971-2008) ]
Approche polymorphe de la modélisation électrothermique pour la fiabilisation des dispositifs microélectroniques de puissance / Toufik Azoui le 2013 [ Toulouse, INSA ]
Conception, fabrication de puces microfluidiques à géométrie programmable et reconfigurable reposant sur les principes d’électromouillage sur diélectrique et de diélectrophorèse liquide / Raphaël Renaudot le 2013 [ Grenoble ]
Développement d’une microbatterie Li-ion 3D & Étude d’une anode de silicium amorphe déposée par LPCVD sur substrat 3D / Elise Denoyelle le 2010 [ Caen ]
Assignation technologique sur bibliothèques virtuelles de portes complexes CMOS / André Inacio Reis le 1998 [ Montpellier 2 ]
Largeur spectrale du laser semiconducteur dans l'approximation d'une couche mince active / Mohamed Bakry el- Mashade le 1987 [ Montpellier 2 ]
Maitrise de l'électrodépôt de couches nanométriques de Cuivre et Cobalt pour la métallisation des interconnexions en microélectronique / Amine Lakhdari le 2021 [ université Paris-Saclay ]
Etude par modélisation et caractérisation d'architectures innovantes de transistors pour les circuits logiques dans un environnement mémoires non volatiles embarquées / Jordan Locati le 2021 [ Aix-Marseille ]
Simulation numérique du piégeage et du dépiégeage dans les oxydes de composants MOS / Claude Cirba le 1996 [ Montpellier 2 ]
Le frittage des poudres submicroniques du composé Ag3Sn, une alternative au brasage par fusion : synthèse de la phase en milieu polyol et premiers essais / Pauline Canaud le 2017 [ Université Paris-Saclay (ComUE) ]
Development and characterization of contacts on GeSn alloys / Andrea Quintero le 2020 [ université Paris-Saclay ]
Etude à l'échelle nanométrique par sonde locale de la fiabilité et de la dégradation de films minces d'oxyde pour applications MOS et MIM / Romain Foissac le 2015 [ Université Grenoble Alpes (ComUE) ]
Design of a low-power 60 GHz transceiver front-end and behavioral modeling and implementation of its key building blocks in 65 nm CMOS / Michael M. Kraemer le 2010 [ Toulouse, INSA ]
Etude cinétique de polymères thermostables : application aux matériaux de structure (préimprégnés) et à la microélectronique (photoréactivité) / Bernard Loisel le 1992 [ Montpellier 2 ]
Microscope à effet tunnel photonique PSTM utilisant les sondes semi-conductrices à force atomique / Laure Grimont le 1997 [ Montpellier 2 ]
Matériaux magnéto-diélectriques en couches minces à forte perméabilité et à forte permittivité pour les applications microondes / Kevin Garello le 2009 [ Limoges ]
Verres conducteurs ioniques et conducteurs mixtes : leurs utilisations dans des microcomposants ioniques / Robert Creus le 1991 [ Montpellier 2 ]
Réalisation d'un micro-capteur d'oxygène en technologie micro-électronique ou compatible / Monique Dilhan le 1994 [ Toulouse 3 ]
Test de mémoires SRAM à faible consommation / Leonardo Henrique Bonet Zordan le 2013 [ Montpellier 2 ]
Caractérisation de composants microélectroniques de test pour la technologie ULSI sur silicium / Lélia Soliman le 1999 [ Rouen ]
Nanolithographie catalytique par microscopie à force atomique : étude des paramètres physico-chimiques / Vincent Mesquita le 2016 [ Aix-Marseille ]
Études théorique et expérimentale de dispositifs à hétérojonction AL(Ga, In)N/GaN pour des applications de puissances en bande Q (40.5 - 43.5GHz) / Alain Agboton le 2016 [ Lille 1 ]
Etude et développement d'un noeud piézoélectrique intégré dans un micro-système reconfigurable : applications à la surveillance "de santé" de structures aéronautiques / Hamza Boukabache le 2013 [ Toulouse, INSA ]
Interconnexions Through Silicon Via pour applications à Haute fiabilité / Anh Phuong Nguyen le 2016 [ Caen ]
Méthodologie de caractérisation de paramètres thermomécaniques de matériaux pour la microélectronique / Olivier Perat le 2002 [ Toulouse, INSA ]
Etude et optimisation de la micro-découpe par laser DPSS haute cadence de puces à haut gap optique pour les applications microélectroniques / Anthony Guillaume Savriama le 2014 [ Orléans ]
Spin and charge effects in Andreev Bound States / Cyril Metzger le 2022 [ université Paris-Saclay ]
Développement de composants analogiques embarqués dans des microcontrôleurs destinés à l'Internet des Objets (loT) / Roméric Gay le 2022 [ Aix-Marseille ]
Intégration de collecteurs de charges avancés dans les cellules solaires bifaciales à haut rendement : vers un procédé générique pour les nouveaux matériaux silicium / Maxim Hayes le 2020 [ Aix-Marseille ]
Encodage de données programmable et à faible surcoût, limité en disparité et en nombre de bits identiques consécutifs / Julien Saade le 2015 [ Université Grenoble Alpes (ComUE) ]
Investigation of aging and cracking mechanisms in advanced ceramic materials for microelectronics / Sarah Rubeck le 2022 [ Lyon ]
Elaboration et caractérisation de quelques diélectriques à forte permittivité avec application en microélectronique / Tito Busani le 2006 [ Grenoble 1 ]
Simulation numérique de la diffusion de dopants dans les matériaux III-V pour les composants microoptoélectroniques / Jérôme Marcon le 1996 [ Rouen ]
Localisation de défauts par stimulation thermique laser modulée en intensité : développement et application à la direction de phase / Antoine Reverdy le 2008 [ Caen ]
Redistribution du bore et de l'arsenic implantés dans le silicium : apport de la sonde atomique tomographique / Michel Ngamo Toko le 2010 [ Rouen ]
Etude et modélisation de la précipitation de particules de silicium dans des couches de silice nanométriques / Manuel Roussel le 2012 [ Rouen ]
Etude et mise en oeuvre de cellules résistantes aux radiations dans le cadre de l'évolution du détecteur à pixels d'Atlas technologie CMOS 65 nm / Denis Fougeron le 2020 [ Toulon ]
Intégration monolithique de composants bipolaires et de circuits radiofréquences sur substrats mixtes silicium/silicium poreux / Marie Capelle le 2013 [ Tours ]
Applications des technologies mémoires MRAM appliquées aux processeurs embarqués / Luís Vitório Cargnini le 2013 [ Montpellier 2 ]
Étude des stratégies de modulation pour onduleur triphasé dédiées à la réduction des perturbations du bus continu en environnement embarqué / The Dung Nguyen le 2011 [ Compiègne ]
Etude de mécanismes d'interactions entre une pointe de microscopie AFM et une surface de semiconducteur / Selim Lahimer le 1997 [ Montpellier 2 ]
Long-range transfer of spin information using individual electrons / Benoit Bertrand le 2015 [ Université Grenoble Alpes (ComUE) ]
Etude et développement de microtechnologies sur substrat papier : application à la structuration d'AL2O3 poreux pour la faisabilité d'un capteur d'humidité / Mamadou Saliou Baldé le 2013 [ Montpellier 2 ]
Mesoscopic electromagnetic model of carbon-nanotube arrays and scalable technological processes : Application to the fabrication of novel antennasCo-dirigée par Beng Kang Tay / Pierre Franck le 2013 [ Limoges ]
Développement d'un nouvel instrument couplant FIB/SEM UHV et OTOF-SIMS à haute résolution spatiale pour la microélectronique et ses applications / Jean Almoric le 2021 [ Aix-Marseille ]
Contribution à l'étude des circuits de technologie silicium sur saphir sous irradiation transitoire / Jean-Daniel Saussine le 1992 [ Montpellier 2 ]
Conception et étude d'un ellipsomètre spectroscopique à analyseur tournant : Comparaison avec un ellipsomètre spectroscopique à modulation de phase : Applications à la microélectronique / Marie-Laure Hidalgo le 1996 [ Montpellier 2 ]
Réalisation d'un ellipsomètre spectroscopique à modulation de phase : calibrages, tests et applications en microélectronique / Sutrisno le 1992 [ Montpellier 2 ]